在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 关于补码的加法和乘法运算 attachment  ...2345 larlyii 2011-5-31 4223198 smarthb 2021-6-18 11:17
[求助] FPGA的学习方向  ...2345 shiyinjita 2011-10-15 4212815 菜鸟要飞 2014-6-4 09:06
悬赏 [求助] 不知道如何在设计中例化io_pad - [已解决] attach_img  ...2345 郑伟 2021-11-17 449547 xiaoyuIC 2023-11-10 11:58
[求助] 谁用过Synplicity.Certify软件,想请教个问题 attach_img  ...2345 along153 2010-1-20 4111967 14268297 2017-4-10 15:30
[求助] 国内数字IC最牛的是哪几家公司  ...2345 walkerwen1 2010-7-20 418682 ymli123 2010-8-8 21:45
[求助] apb协议的书写 attachment  ...2345 henghengnana 2010-11-24 4118547 dqwuf2008 2020-11-5 14:50
[求助] 很迷茫 到底如何学习数字IC  ...2345 我擦泪 2012-12-30 4119549 罗啸 2021-10-10 22:09
[求助] 什么时候用组合逻辑?什么时候用时序逻辑?迷糊中 attach_img  ...2345 shiyinjita 2011-10-30 4014696 xiangchengsun 2017-7-11 16:54
[求助] altera的tse ip核上板调试求助,卡了几天,请做过的朋友帮助一下,谢谢! attach_img  ...2345 powermike628 2013-6-10 4015609 jimingchun 2024-4-1 15:49
[求助] 求解关于一道笔试题,用Verilog编一个接口转换电路 attachment  ...2345 yiyunzisu 2013-6-18 4017398 成长中的原始人 2014-10-27 14:55
[求助] NOVAS nLint 设计规则检查工具  ...234 追天鹅的青蛙 2010-7-20 3923094 marx67 2018-12-3 10:52
[求助] FPGA编程BUG不能升级,导致了用户退货,要不要辞职呢?  ...234 shiyinjita 2011-9-20 396859 shiyinjita 2011-9-28 07:23
[求助] 如何提高实现的设计的频率  ...234 Johnny_Cheng 2011-12-5 397962 zhustudio 2012-1-12 10:49
[求助] modelsim仿真ddr2问题 attach_img  ...234 easychen 2012-3-22 3915693 yhsy1002 2017-6-6 16:54
[求助] 请问 Verilog 高手语法与ModelSim 问题? attach_img  ...234 nono2000 2012-12-19 398211 shile 2013-1-3 20:13
[求助] 求教大神们,FPGA 串并转换 ISERDES的问题  ...234 320070921971 2015-4-17 398910 S900827 2017-2-9 21:46
[求助] 请教一个关于srio gen2的问题 attach_img  ...234 bjh552125624 2017-7-13 397001 qiurijian 2017-8-16 11:54
[求助] 关于跨时钟域单bit信号的同步问题 attach_img digest  ...234 IC.Michael 2019-4-10 3816581 dcrfyq 2023-9-28 23:18
[求助] 用modelsim仿真Altera的FIR ip核 出现错误了 大家帮我改改 attachment  ...234 lzlyn 2012-6-8 3810866 peterlook1 2023-8-9 11:08
[求助] Digital Arithmetic 这本书,有中文版的吗? attachment  ...234 bridgepons 2019-2-4 3812077 sutaotao2001 2023-12-15 12:19
[求助] always @ (*)是什么意思?  ...234 luyuntao 2009-12-22 376937 1920 2010-4-29 23:18
[求助] 求书:全面的功能验证:完整的工业流程 attachment  ...234 chibijia 2010-4-21 3718534 rainingud 2020-6-24 23:00
[求助] 请问这小段verilog代码可以综合吗  ...234 zhourong601 2010-5-21 376403 HenryLai 2010-7-1 09:48
[求助] 请教,在时钟的上升沿,怎样判断一个脉冲的上升沿???  ...234 xqylyq 2010-6-19 378246 bb5013 2010-8-8 11:13
[求助] Verilog中TASK是不是只有组合逻辑时才可以综合?  ...234 cleocss 2010-8-14 3723358 fzm2152 2018-5-8 15:20
[求助] 谁能介绍一下乒乓异步FIFO attachment  ...234 xiaocanmeng 2011-8-3 3714554 tchell 2017-7-11 18:21
[求助] pcie与DMA求助  ...234 yangkaiqu 2011-10-19 3719087 whuan 2016-8-22 13:22
[求助] 关于Sigma-delta ADC的系统级设计以及数字部分实现 attachment  ...234 wanglscool 2012-1-8 3711944 i_test 2022-6-10 15:26
[求助] 请教大家,如何使时钟信号延迟几个周期  ...234 atlandis 2012-2-22 3712974 cleveland2002 2012-4-16 13:47
[求助] 关于I2C设计遇到麻烦(从机MAX9611) attach_img  ...234 子宁Zing 2015-1-29 378798 mindy_xj 2015-6-17 17:20
[求助] 请问异步FIFO中为什么需要使用格雷码来表示指针呢 attachment  ...234 come_on_sn 2021-10-11 376264 come_on_sn 2022-1-10 00:01
[求助] 求书:FPGA Simulation: A Complete Step-by-Step Guide  ...234 klopstock 2010-7-9 3616530 iwktd1220 2023-2-1 16:31
[求助] ISE12.4不能调用synplify201009  ...234 ltx20000 2010-12-27 3618463 qww634872760 2020-7-14 15:15
[求助] HELP!求最大最小值 attach_img  ...234 oscillator_cn1 2011-1-18 3612529 amyxxf 2011-2-16 22:28
[求助] 一个简单的verilog程序,小数能直接赋值吗  ...234 tianyu751 2012-5-23 3610636 tiangua 2012-12-16 22:26
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 18:52 , Processed in 0.045843 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块