在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13059|回复: 37

[求助] 请教大家,如何使时钟信号延迟几个周期

[复制链接]
发表于 2012-2-22 11:55:49 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请教大家,如何使时钟信号延迟几个周期,比如说在a时刻,时钟就结束,我确希望它能够推迟几个周期,用于自己的数据处理
发表于 2012-2-22 15:47:41 | 显示全部楼层
为什么不使能数据延迟几个周期再处理呢?最好不要试图控制时钟延迟
发表于 2012-2-22 15:53:58 | 显示全部楼层
这个问题我也想知道啊。
 楼主| 发表于 2012-2-22 16:31:46 | 显示全部楼层
回复 2# mafan88


   为什么不使能数据延迟几个周期再处理呢?最好不要试图控制时钟延迟



我知道最好不要试图控制时钟延迟,但是我现在有这个需求,需要在时钟结束后的几十个周期内将得到的数据进行处理,但是只有一个pclk,这个时钟已经停止了。我该怎么办呢?
发表于 2012-2-22 18:47:40 | 显示全部楼层
那些过来的数据就没有随路时钟吗?
发表于 2012-2-22 19:16:37 | 显示全部楼层
只有一个时钟 而且时钟还停了 那就只有组合逻辑在跑了
 楼主| 发表于 2012-2-22 20:09:18 | 显示全部楼层
回复 5# huxiaokai2005


那些过来的数据就没有随路时钟吗?



当pclk停止之前,数据随之传输,在pclk停止后,数据要进行处理,这个时候,没有时钟了,该模块没有系统时钟
 楼主| 发表于 2012-2-22 20:11:00 | 显示全部楼层
回复 6# gg9132qq


   只有一个时钟 而且时钟还停了 那就只有组合逻辑在跑了

我需要时钟,只需要时钟能够持续几个周期,但是没有办法啊
发表于 2012-2-23 11:08:27 | 显示全部楼层
叫你做这个设计的家伙《碟中谍》看多了。。。。。。
 楼主| 发表于 2012-2-23 11:27:46 | 显示全部楼层
回复 9# jackertja


   此话怎讲?我觉得数字电路实现不了了,得求助于模拟电路,呵呵呵
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 13:26 , Processed in 0.054988 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表