在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (157) |订阅

数字IC设计资料(IC前端|FPGA|ASIC) 今日: 10 |主题: 26136|排名: 4 

请上传附件分包大小设置到64M内
公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
全局置顶 隐藏置顶帖 关注MPS公众号,下载电源资料!奖励500信元! attach_img  ...23456..25 jackzhang 2024-6-24 2405057 ZQDN4 半小时前
全局置顶 隐藏置顶帖 百万元器件搜索大全  ...2 jackzhang 2024-6-3 153967 leonard30 2024-7-12 04:14
全局置顶 隐藏置顶帖 忆阻器、存算一体芯片白皮书、解决方案文案、培训视频 jackzhang 2024-6-18 01284 jackzhang 2024-6-18 18:42
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 816451 hzmscut 2024-5-24 16:35
  版块主题   
[资料] MIPI DPHY(v2.5,19年),CSI2(v2.5,18年),DSI(v1.3 15年) attachment  ...23 juhuapaul 2022-1-26 244122 JUNJUN421 4 分钟前
[资料] MIPI: I3C, DSI-2, CSI-2, M-PHY attachment  ...23456..12 Somniator 2021-2-8 11624585 JUNJUN421 9 分钟前
[资料] 11个例子教会你看电路图 attach_img  ...2 bzqian 2014-10-22 112403 hchen0730 半小时前
[原创] [高清 非扫描]锁相环技术原理及FPGA实现 attachment  ...23456..8 quenii 2023-5-24 725983 hchen0730 半小时前
[资料] 时序约束和时序分析,看这两本就足够了 attachment  ...23456..21 gooseman 2018-10-8 20541755 hchen0730 半小时前
[资料] 整理的数字前端、数字后端的面试题目 attachment  ...23456..29 烟花一时 2023-7-31 28710383 205207033 3 小时前
[原创] axi协议中文详解 attachment New rocsman 昨天 22:35 277 jiangnaner 6 小时前
[原创] 【视频教程】数字ic综合工具 DC实践视频教程 高清降噪版 attachment  ...23456..78 大学生视野 2022-4-4 77330608 生气的鸡蛋 15 小时前
[资料] 数字IC设计笔试汇总 新人帖 attachment  ...23456..16 cguo2013 2024-5-31 1581748 sutaotao2001 昨天 23:40
[资料] USB3.0相关学习资料 attachment  ...23456..30 Jasonszm 2022-1-13 29612388 jiangnaner 昨天 19:58
[资料] spyglass lint rules ref 新人帖 attachment  ...2 robin_stark 2023-3-29 153169 ddale 昨天 18:05
[资料] EDA工具的英文资料 attach_img  ...23456..40 烟花一时 2023-7-26 39113318 cxmm17 昨天 17:50
[资料] 最新DDR PHY Interface Specification (DFI5.1) attachment  ...23456..8 totuwei 2021-6-8 7113906 jeson0714 昨天 17:37
[原创] 《通用图形处理器设计:GPGPU编程模型与架构原理》电子书 attach_img  ...2 stellavip 2024-3-21 161411 skahill 昨天 17:25
[资料] Xilinx Vitis Vivado Unified v2024.1 attachment janetchin 2024-6-25 9396 murphy522 昨天 17:04
[资料] EDA工具用户指南(VCS、DC、PT、Formality、TCL、DFT、Power Compiler) 新人帖 attachment  ...23456..8 烟花一时 2023-5-26 756965 fanyang08 昨天 16:36
[资料] SERDES圣经:High Speed Serdes Devices and Applications attach_img  ...23456..51 mingboshui 2013-12-29 51085977 luminate 昨天 16:24
[资料] 【ZYNQ】特权老师Xilinx ZYNQ资料 attachment  ...23456..59 suisuisi 2019-9-15 58776360 binnq 昨天 16:19
[原创] CORDIC计算三角函数(附verilog代码) attachment  ...23456..43 guoyu 2008-7-16 42495857 cs1998 昨天 15:55
[资料] CPU资料 attachment  ...2 liguchu 2024-4-28 17897 binnq 昨天 15:35
编写测试平台-HDL模型的功能验证(中文版,第二版) attachment  ...23456..25 爱玩 2007-1-30 24643806 binnq 昨天 15:35
[资料] FPGA/ASIC高性能数字系统设计 attachment  ...23456..8 weila9 2018-1-5 7317754 gccywu 昨天 15:20
[资料] FPGA/ASIC高性能数字系统设计 分享 attachment  ...23456..17 ifpga/asic 2010-11-25 16933221 gccywu 昨天 15:17
[资料] ASIC设计-FPGA原型验证(原创,包括Altera Quartus 和 Xilinx Vivado 教程) digest  ...23456..53 caoshangfei 2014-9-28 52095748 gccywu 昨天 15:08
[资料] Primetime 2018.06 Lab files, Lab guide, student guide selectable text attachment lianyi1990 2024-7-18 3166 binnq 昨天 14:12
[资料] 【强烈推荐】Vivado入门与提高-高亚军视频教程 attach_img  ...23456..30 suisuisi 2019-5-21 29940294 stevenGodJJ 昨天 13:37
[原创] 【数字集成电路物理设计】(国家集成电路工程领域工程硕士系列教材) 清晰版 attachment  ...23456..115 benemale 2010-1-21 1140115336 Min_Johnson 昨天 13:31
[转贴] noc文档 attachment wangzhaoxidian 2024-7-19 5217 smrecnik 昨天 12:38
[资料] TSMC 65nm Standard IO Library Databook attachment  ...23 一岁就很帅 2019-2-26 245728 binnq 昨天 11:54
[资料] 数字IC设计相关学习资料 attachment  ...23456..56 Jasonszm 2022-1-13 55522873 qladxk2008 昨天 11:43
[资料] IEEE 1801 UPF标准大集合 attachment  ...23456..7 zjl840928 2017-1-11 6618153 binnq 昨天 11:12
[资料] 2024年全球AI芯片研究报告 attach_img New 芯电图 昨天 11:11 075 芯电图 昨天 11:11
[原创] Phase-locked loops A control centric tutorial attachment New binnq 昨天 08:57 3131 zhangmengxyz 昨天 10:48
悬赏 [求助] 求助ASSCC和CICC2023论文slide - [悬赏 100 信元资产] wu_bo 2024-7-18 5164 wu_bo 昨天 10:39
[资料] Xilinx FPGA 数字信号处理【视频教程】+相关资料 attach_img  ...23456..37 suisuisi 2019-6-20 36860258 li.hacker000 昨天 10:27
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-27 15:25 , Processed in 0.013611 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块