在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (131) |订阅

后端资料区 今日: 4 |主题: 5725|排名: 8 

1. 本版块严禁灌水!否则删除ID!
2. 附件大小从2011年1月2日起,已调整到15M,请上传附件分包大小设置到14M~15M内
公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
全局置顶 隐藏置顶帖 关注MPS公众号,下载电源资料!奖励500信元! attach_img  ...23456..24 jackzhang 2024-6-24 2395042 糖纸 半小时前
全局置顶 隐藏置顶帖 百万元器件搜索大全  ...2 jackzhang 2024-6-3 153967 leonard30 2024-7-12 04:14
全局置顶 隐藏置顶帖 忆阻器、存算一体芯片白皮书、解决方案文案、培训视频 jackzhang 2024-6-18 01284 jackzhang 2024-6-18 18:42
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 816451 hzmscut 2024-5-24 16:35
本版置顶 隐藏置顶帖 [资料] 我的文档(后端设计相关文档、资料、工具等内容) attachment digest  ...23456..133 scuay 2012-8-23 1326192344 IC设计初学者 2024-5-17 17:12
  版块主题   
[资料] Cadence Skill语言开发说明与介绍——中文版 attachment  ...23456..11 Synopsys-IC 2013-1-29 10029644 anhuijxa 2 小时前
[原创] 伟大的IP列表在这里 attachment  ...23456..17 eda2022 2022-7-13 16716268 zlhrsy 2 小时前
[资料] 入门后端学习Tcl 新人帖 attachment New 韬晦 前天 10:02 2117 icroad 14 小时前
[资料] 关于时序分析的资料 《TIMING ANALYSIS OF INTEGRATED CIRCUITS》 attachment New 菜鸟一号 前天 10:07 8163 icroad 14 小时前
[原创] Genus Synthesis Flows Guide 22.1 新人帖 attachment  ...2 alocado 2023-1-22 193013 sugus86 昨天 17:27
Synopsys的TCL使用教程,极品! attachment  ...23456..54 navie 2009-9-19 53957656 qingtianxiaojia 昨天 16:04
[资料] 最新fusion compiler userguide与icc2_tool_command attachment  ...2345 南屏旧桥 2021-4-19 4610377 qingtianxiaojia 昨天 16:03
[资料] 宏单元Macros摆放的原则和建议,中文带图例 新人帖 attachment  ...2 chenwenhaoee 2021-6-3 185013 qingtianxiaojia 昨天 16:01
[转贴] SMIC 0.18um 数字电路 standard单元库和IO单元库 attachment  ...23456..30 B40514066 2010-10-20 29064683 qingtianxiaojia 昨天 16:00
[资料] 经典书籍 数字VLSI芯片设计—使用Cadence和Synopsys(中/英) attachment  ...23456..18 shiqingziyang 2012-11-18 17838095 qingtianxiaojia 昨天 15:59
[资料] 16G SERDES attach_img  ...23456..20 kahboone 2022-6-6 19318891 qingtianxiaojia 昨天 15:58
[资料] Synthesis合集.2022.03 attachment  ...2 qtds1258 2022-7-26 122935 qingtianxiaojia 昨天 15:57
原创:静态时序分析总结ppt attachment digest  ...23456..25 azhao 2009-12-5 24438645 qingtianxiaojia 昨天 15:57
[资料] PVT Sensors IP attachment  ...23456 iamtony 2022-3-18 577014 qingtianxiaojia 昨天 15:56
[资料] PrimePower User Guide .2022.03 attachment  ...23 qtds1258 2022-7-25 274286 gaoch1026 昨天 15:41
悬赏 [资料] 求Tempus User Guide - [已解决] attachment Via_ 2021-12-3 93041 qingtianxiaojia 昨天 15:01
[其它] Layout经典ppt教材_黄弘一教授_你懂的 attachment  ...23456..9 minzyyl 2011-6-22 8323725 qingtianxiaojia 昨天 15:00
[资料] Synopsys Design Compiler Chip Synthesis Workshop, Student Guide Slides, 2019 attachment  ...23 AndyBrown 2024-4-15 211288 qingtianxiaojia 昨天 14:59
[资料] Tcl_TK编程权威指南 中文版 & 英文版 attachment  ...234 wangxialing 2019-12-27 358995 qingtianxiaojia 昨天 14:58
[资料] Standard Verification Rule Format _svrf_2020.03 attach_img lishenycy 2022-6-27 92736 qingtianxiaojia 昨天 14:57
[资料] USB3.1SSP+/DP Alt PHY 特点和描述 attach_img  ...23456..8 kahboone 2022-6-9 746167 qingtianxiaojia 昨天 14:56
[原创] 2017最新版Cadence JLS162 LIBERATE161 PVS152 TEMPUS VOLTUS CONFRML MODUS attach_img  ...23456..8 GarryTse 2017-5-5 7837711 qingtianxiaojia 昨天 14:55
[资料] DC综合之时序约束,公司内部解密 attachment  ...23456..27 graduate2010 2012-4-9 26949161 qingtianxiaojia 昨天 14:54
[资料] ICC2帮助文档 新人帖 attachment jchhg 2024-7-10 5171 qingtianxiaojia 昨天 14:53
[资料] 专用集成电路设计实用教程(第一版)完整版带书签_虞希清_浙江大学出版社 attachment  ...23456..22 zengjia1106 2019-1-30 21333703 qingtianxiaojia 昨天 14:52
[资料] Verdi® UVM Debug User Guide.2022.06  ...23456..10 qtds1258 2022-7-22 917510 bwsong996 昨天 14:41
[资料] DC逻辑综合工具教程-中文完整版 attachment  ...23456..42 zeushuang 2012-8-10 41266214 qingtianxiaojia 昨天 13:47
[资料] 经典必备:Perl语言入门(小骆驼书),三,四,五版大全 attachment  ...23456..9 xine2009 2012-2-24 8223091 qingtianxiaojia 昨天 13:46
[资料] 【汉化版本】Static Timing Analysis for Nanometer Designs A Practical Approach 新人帖 attachment  ...2 对角巷的小麻瓜 2022-1-30 183276 qingtianxiaojia 昨天 13:45
[原创] 56G SERDES 数据表 attachment  ...23456..39 kahboone 2022-6-18 38821704 qingtianxiaojia 昨天 13:44
[求助] 求T12 lpddr5 phy attach_img andy9972 2022-4-11 81830 qingtianxiaojia 昨天 13:43
[资料] FINFET 相关的详细资料  ...23 xyzte521 2020-6-29 296128 qingtianxiaojia 昨天 13:41
[资料] 【后端红宝书】数字集成电路物理设计_[陈春章 著] attachment  ...23456..35 qq8467798 2012-10-18 34364400 qingtianxiaojia 昨天 13:40
[原创] DDR5/4 PHY V2 attach_img  ...23456..15 iamtony 2022-2-5 14011863 小勇奋战 昨天 09:58
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-27 14:39 , Processed in 0.013708 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块