在线咨询 切换到宽版
eetop公众号 创芯大讲堂 创芯人才网

 找回密码
 注册

手机号码,快捷登录

手机号码,快捷登录

搜帖子
收藏本版 (159) |订阅

后端资料区 今日: 8 |主题: 5963|排名: 10 

[资料] Power Distribution Networks with On-Chip Decoupling Capacitors  ...234 sg20067701 2019-3-9 3311477 lhyi 2025-12-14 17:23
[资料] icc2_workshop_collaterals 新人帖  ...2345 suma5213 2022-3-22 4010969 jsncepu 2025-12-14 09:05
[资料] Synopsys DC 综合脚本命令及参考模板  ...23456..25 microeric 2010-11-23 24357910 jsncepu 2025-12-13 20:15
[原创] Synopsys RTL2GDSII Workshop24  ...23456 tapas 2024-8-4 567174 flscut 2025-12-13 15:52
[资料] Design Compiler M-2016-12 Update Trainning  ...234 大龄文艺男青年 2017-2-7 3612924 jsncepu 2025-12-13 15:36
[资料] 最新innovus workshop 中文版,赶紧下载!!!  ...23456..12 兔子IC 2022-9-8 11423676 jsncepu 2025-12-13 15:32
[资料] 综合与时序分析的设计约束  ...23 yueqingquan 2022-12-28 267336 cmmjava 2025-12-13 07:27
[资料] 最新2016年12月Design Compiler Power Compiler BSD Compiler的User Guide  ...234 Horizon00 2017-2-28 3111989 tvman2015 2025-12-12 22:54
[资料] Cell-Based IC Physical Design and Verification with IC Compiler  ...23 iNostory 2018-2-1 2810183 tvman2015 2025-12-12 22:43
[求助] 这个图是icg太靠近root造成的吗? qq771520600 2025-12-11 2153 qq771520600 2025-12-12 17:11
[求助] 我这个时钟树是不是出问题了 qq771520600 2025-12-12 0182 qq771520600 2025-12-12 11:27
[讨论] pocv和set_timing_derate 23343woaiic 2023-1-18 52498 1173765100 2025-12-11 16:58
[资料] calibre DRC/LVS lab + lab_guide+Performing DRC/LVS Student Workbook  ...23456..20 @电子小涛 2013-11-24 19056767 Lavender888 2025-12-11 13:48
[求助] 求一个Genus安装包 Outerman2000 2022-8-22 12083 闲人过客 2025-12-10 22:49
[求助] innovus,findingFarthestSink无法找到root点 qq771520600 2025-12-10 3121 qq771520600 2025-12-10 17:53
[资料] 推荐数字后端小白需要看的书(我只是资料的搬运工)  ...23456..10 lijiasen.xy 2022-4-13 9626496 ljt499 2025-12-10 16:31
[资料] IC后端的一些经验总结附赠资料  ...23456..18 yhji1334551882 2022-8-19 17934502 danielpk 2025-12-10 09:04
(经典教材)HDL_Chip_Design (完整版)  ...23456..9 nan123chang 2008-5-27 8621554 cm18888888888 2025-12-9 22:00
[求助] 高亮最远的10条path,只显示了一条 qq771520600 2025-12-9 0152 qq771520600 2025-12-9 20:09
[资料] 伟大的IP  ...23456..85 eda2022 2022-7-2 84376858 BoyanWang 2025-12-9 19:47
[求助] Help : "tsmc standard cell library" application note  ...2345 netking 2021-4-16 4613625 baittttt 2025-12-9 15:51
[资料] 最近正在学习的数字后端PR资料 新人帖  ...23456..18 欢欢123 2021-8-7 17039812 霍格沃兹 2025-12-9 15:13
[资料] 《数字集成电路后端设计》 新人帖  ...23 野兽先辈李田所 2025-3-5 202712 辰小辰 2025-12-9 13:34
[求助] 求一份Formality Eco User Guide lolina 2025-12-9 086 lolina 2025-12-9 09:41
[资料] 工艺库文件大全  ...23456..34 南屏旧桥 2020-4-28 33372204 霍格沃兹 2025-12-9 09:13
[原创] 求分享一份DDI241安装包 - [悬赏 2000 信元资产] Mr.wang.. 2025-12-7 1156 Lover_Momo 2025-12-8 08:27
[资料] DFT,后端 EDA 工具分享  ...23 John_Zhang 2025-5-7 202671 Darnew 2025-12-7 13:22
[原创] synopsys memory compiler 软件 embed-it integrator求助 新人帖 yudaming 2023-10-7 32584 伤剑使者 2025-12-6 21:43
[资料] StarRC™ User Guide and Command.2022.03  ...23456 qtds1258 2022-7-25 5313250 lizhiweinwpu 2025-12-6 21:09
[资料] 复旦大学“数字集成电路设计导论”课堂讲义PPT  ...2345 savage1984 2010-5-2 4518310 donnie 2025-12-6 21:09
[资料] CTS相关的一个资料,看着还不错,有需要的下哦 新人帖  ...2 bettr011 2025-1-6 102683 荒漠小草 2025-12-6 21:09
[原创] HDMI 2.1 Rx PHY 1 Port for TSMC 16-nm FFC/1.8 V  ...2345 iamtony 2022-2-19 469104 Geant168 2025-12-6 14:04
[原创] Synopsys专家的低功耗设计教材(英文版)  ...2 Andy_Anderson 2025-11-8 14857 zlhrsy 2025-12-5 21:55
[求助] 可否帮忙下载一下Cadence上的3Dblox RAK,感谢 - [已解决] Lover_Momo 2025-2-5 41627 sjtu-dzh 2025-12-5 17:42
[原创] Innovus Foundation Flows Guide  ...234 blaton 2023-7-18 326845 WNOPQ 2025-12-5 11:10
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

手机版| 小黑屋| 关于我们| 联系我们| 用户协议&隐私声明| 版权投诉通道| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 ) |网站地图

GMT+8, 2025-12-30 22:42 , Processed in 0.016835 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块