在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: easychen

[求助] modelsim仿真ddr2问题

[复制链接]
发表于 2012-3-23 16:39:00 | 显示全部楼层
你的内存颗粒什么型号的? 我生成一个看看。。 可能是我的是SODIMM封装的,你的是component,估计不一样。
发表于 2012-3-23 17:06:58 | 显示全部楼层
确实是我的封装跟你的不一样。我重新生成了一个component的。

从6楼你发的这个ready_from_cmd_gen来看,应该是OK的哦。你是不是enable 了half rate bridge ?
你看看这个模块:
/ddr2_ip_example_top_tb/dut/ddr2_ip_inst/ddr2_ip_controller_phy_inst/ddr2_ip_alt_mem_ddrx_controller_top_inst/controller_inst/controller_inst/avalon_if_inst

有两个信号check一下,internal_ready 和 local_ready。
 楼主| 发表于 2012-3-25 15:38:27 | 显示全部楼层
回复 12# catcat_2


    由于设计的需要,我现在选择了enable half rate bridge,编译什么的都通过,ready信号很快就变高了,但是仿真过程中,报了很多错,然后就自动停止了。这是肿么回事呢?
 楼主| 发表于 2012-3-25 16:07:30 | 显示全部楼层
回复 12# catcat_2


    报错是
# top_testbench.U_top.b2v_ddr2.cmd_task: at time 3907905.0 ps ERROR: Precharge All Failure.  Auto Precharge is scheduled.
发表于 2012-3-28 08:51:54 | 显示全部楼层
这种情况没有碰到过啊。。 怀疑仿真的时候,访问到ddr2 sdram 的参数MEM_BITS设置范围之外的内存空间了。你check一下。
 楼主| 发表于 2012-3-28 09:13:30 | 显示全部楼层
回复 15# catcat_2


    果然是大牛啊!!问题跟你说的一样。现在已经搞定了,enable half rate birdge后一样能够仿真。我重新复制了一下ddr2控制器的.v文件就可以了,可能是文件加载过程中出错了。后来,能够跑以后,也报了说MEM_BITS太小的错误,把参数从6改到16,一切OK。现在能够正常使用了,谢谢大牛哦~
发表于 2012-3-28 12:32:37 | 显示全部楼层
建议这个参数设小一点,这样跑起来不吃内存。。 越大越吃内存。。16也是可以的。
发表于 2012-7-26 09:30:27 | 显示全部楼层
回复 13# easychen

您好!最近一直在调ddr2仿真模型,一直调不通,我自己根据控制器新建的一个工程,控制器中的sim文件包含ddr2_model.v、ddr2_model_parameters.vh、sim.do、sim_tb_top.v和wiredly.v四个文件,请问如何对工程进行仿真?还请前辈多指教!弄了好久,一直调不通!谢谢!
 楼主| 发表于 2012-7-26 09:45:22 | 显示全部楼层
回复 18# gxiaob

把ddr_module.v这个文件当成一个模块,加入到你的程序中就可以仿真了。那个sim.do文件是modelsim的一个运行文件,输入 do sim.do就可以帮你省了很多步骤。sim_tb_top.v应该是一个仿真例子,你可以参考一下。wiredly.v,这个我也不知道啥东东……读读看吧。
PS:刚开始折腾这个是比较烦的,我也弄了很多,各种问题啊。希望你一切顺利,尽量搞定吧。
发表于 2012-7-28 17:48:35 | 显示全部楼层
回复 19# easychen


    谢谢!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 04:22 , Processed in 0.029253 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表