在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] FPGA设计,接下来该怎么走?求指导  ...234 df_lala 2014-6-12 3613541 ye_hong_lei 2015-4-1 12:55
[求助] [求]基于Verilog+HDL的通信系统设计 + VerilogHDL那些事儿 attachment  ...234 andy2000a 2013-9-22 3511907 peterlin2010 2018-7-18 19:10
[求助] 50M时钟输入,想得到1HZ的输出时钟,大家一般怎么做分频?  ...234 haoyachuan 2009-12-22 3410452 mich_xia 2010-2-21 14:06
[求助] 求助:FPGA的学习策略  ...234 dake8807 2009-12-25 346589 雪剑 2010-8-30 15:09
[求助] verilog 笔试题 求助  ...234 lyz5432 2012-8-17 348889 macer_yang 2012-8-30 15:13
[求助] 谁能告诉我,能找到FPGA的工作吗?  ...234 小钱 2012-11-3 348422 Johny_2012 2012-12-3 09:10
[求助] 数字集成电路物理设计这本书没有卖的了??  ...234 我擦泪 2012-11-9 3410596 blfa 2018-12-7 23:51
[求助] DDR3 Read Leveling attach_img  ...234 linghuqiubai 2014-10-22 3426532 珊瑚ang 2021-9-8 11:57
[求助] 万分着急,,高人可以帮我写个拨码开关输入 ,,然后脉冲分频的程序不?? attachment  ...234 pyy1980 2010-4-23 335630 pyy1980 2010-4-27 14:19
[求助] 检测信号(非clk)上跳沿的问题,高手帮帮小弟吧!  ...234 zpc987 2010-11-6 338322 gyl1125 2011-7-21 23:34
[求助] 在VCS中对FPGA设计进行仿真 attach_img  ...234 misrig001 2010-12-7 3312386 shcet 2017-2-28 11:00
[求助] 关于异步FIFO深度的计算  ...234 tjxgz 2013-3-19 3320416 hurrywork 2017-4-26 22:25
[求助] Xilinx开发板Block RAM的使用 attachment  ...234 cqugjw 2013-6-9 3321852 hjj3547110 2017-1-2 17:24
悬赏 [求助] 求助<Verilog数字VLSI设计教程>lab中的工艺库及DC软件设置 - [已解决] attachment  ...234 sunshine7706 2013-10-9 3311863 kamalnasir 2019-9-11 00:21
[求助] 请你们一定要进来看看,否则FPGA的道路上又要少一个人,真诚的心,一起学习FPGA, attach_img  ...234 付明浩 2014-4-18 339356 tjpu_wu 2017-5-25 10:55
[求助] Aurora 8B/10B的问题  ...234 pflst90 2015-1-13 3316879 电子通信与工程 2019-4-17 11:08
[求助] 本科毕业进华为海思,求教职业规划  ...234 ucmkul 2012-3-30 3211999 edta2009 2012-4-27 20:05
[求助] hdl代码学习不明白的地方  ...234 sxtz531 2012-7-15 326865 lantern5566 2012-8-1 16:02
[求助] FPGA如何在一个制定范围产生一个随机数 attachment  ...234 xushuai_hit53 2013-9-10 3215666 tangchaodefeng 2018-7-28 22:44
[求助] 请问电流型灵敏放大器跟电压型灵敏放大器工作原理的具体区别  ...234 liwenkuill 2014-9-1 329103 balam2017 2024-3-26 14:33
[求助] 用FPGA 做一个16位计数器,价格面议!  ...234 feige7770 2010-5-17 317524 雨打溪风 2010-7-11 23:30
[求助] ISE12.3是不是不支持modelsim 10.0呢  ...234 gg9132qq 2011-6-16 3115407 jinwenquan1214 2017-6-28 14:45
[求助] 关于DC综合脚本的修改 attachment  ...234 John狗咬耗子 2011-11-24 3110176 liumo163 2018-12-6 15:24
[求助] 面试小考题, 有图有真相! attachment  ...234 chris_li 2011-12-27 319535 hhpingyear 2015-1-2 11:47
[求助] DFT中扫描链的插入而引入的测试端口是自己加上去的还是自动加上去的呢? attach_img  ...234 sages 2012-8-6 3116199 CZB的筱 2023-6-21 10:49
[求助] 分频出来的时钟不稳定 问题 请高手指教 attach_img  ...234 lee2008 2012-12-22 3113535 wsphynb 2015-6-19 16:50
[求助] PC与FPGA通信问题  ...234 绿茶盖儿 2013-5-8 3110056 小明d 2019-5-26 16:59
[求助] 请教一下同步fifo及异步fifo深度的计算原理及方法  ...234 qqiummo 2014-11-24 3115738 moon_y 2017-8-31 20:13
悬赏 [求助] calibre2015安装问题,如图,求教如何解决 - [悬赏 500 信元资产] attach_img  ...234 cyx0610 2016-4-4 3117152 jeffej 2021-8-6 10:05
[求助] 求大家分享一个Spyglass和Lec-Conformal的脚本 attachment  ...234 关森陌 2019-6-25 3110024 flyskyseu 2024-1-2 15:31
悬赏 [求助] Verilog 接口问题 - [已解决] attach_img  ...234 KongDu 2023-2-20 314544 KongDu 2023-3-2 23:24
[求助] FPGA/CPLD设计无复位输入,内部寄存器初值是怎样的?  ...234 yl5495 2011-1-11 3013049 cxa 2018-12-1 11:36
[求助] 关于set_input_delay和set_output_delay attach_img  ...234 sages 2012-5-10 3019857 fky123 2019-8-27 17:19
[求助] 求大神指导如何在时序仿真中查看内部信号  ...234 lvlv2011 2012-6-27 3014419 zxcvbnma3202 2018-7-7 01:24
[求助] library compiler 创建.db文件 attach_img  ...234 武也锋 2013-3-3 3012599 Tam2019 2020-8-27 20:00
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-30 02:34 , Processed in 0.051644 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块