在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (132) |订阅

后端讨论区 今日: 4 |主题: 21208|排名: 16 

讨论区只允许讨论不允许上传资料,更不允许任何形式的灌水!
公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
全局置顶 隐藏置顶帖 关注MPS公众号,下载电源资料!奖励500信元! attach_img  ...23456..25 jackzhang 2024-6-24 2405053 ZQDN4 24 分钟前
全局置顶 隐藏置顶帖 百万元器件搜索大全  ...2 jackzhang 2024-6-3 153967 leonard30 2024-7-12 04:14
全局置顶 隐藏置顶帖 忆阻器、存算一体芯片白皮书、解决方案文案、培训视频 jackzhang 2024-6-18 01284 jackzhang 2024-6-18 18:42
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 816451 hzmscut 2024-5-24 16:35
本版置顶 隐藏置顶帖 [讨论] 后端基本概念讨论专用贴 attach_img digest  ...23456..58 damonzhao 2012-7-13 577214526 icexplorer98 2024-6-13 11:01
本版置顶 隐藏置顶帖 [原创] 关于发帖问问题的一点倡议!  ...2345 damonzhao 2012-1-11 4644781 啵啵33 2024-3-19 10:10
  版块主题   
[求助] clkbuf与buffer有啥不同啊?  ...23 彻底无语 2011-8-31 2218910 EPTansuo 1 分钟前
悬赏 [求助] 求助: spfchekcer fails 新人帖 - [悬赏 100 信元资产] attach_img 宛秋暮冬 2024-1-11 3542 宛秋暮冬 1 小时前
[求助] 综合的clock_network功耗异常,clock_tree有问题,可能是由什么问题导致的 attach_img New chenqicong92 5 小时前 168 ethanchung 5 小时前
投票 [统计] 统计下现在后端的市场工资待遇  ...23 Gav123 2022-8-18 2512752 darlingwqm 昨天 22:44
[求助] 菜鸟求助: PT报告中的 ”sequential_clock_pulse_width” 错怎么修?  ...2 corner_26 2017-10-16 105868 dyt99 昨天 18:15
悬赏 [求助] 修改gds,把gds里的cell全部带前缀或后缀。 - [已解决] zw5823035 2015-6-10 85614 skydreamer 昨天 16:23
[求助] Liberate跑example时遇到的问题 attach_img New elone 昨天 16:09 061 elone 昨天 16:09
[求助] 16nm工艺  ...2 Alicezw 2015-4-3 125012 Tbfree 昨天 15:43
[求助] setup hold LoopLu 2024-7-18 4188 weip218 昨天 15:10
[转贴] DeCap介绍 attach_img  ...234 fgwh406 2019-3-11 3324569 weip218 昨天 15:06
[原创] 【干货】STA静态时序分析详细解析 - 连更 attach_img  ...2345 sinbad 2020-3-22 4924430 cuyzz 昨天 15:05
[求助] 关于timing report中的no path New 又来长脑了 前天 16:34 173 weip218 昨天 14:48
[求助] 求教Calibre PEX问题!!!  ...2 fengzhishang58 2010-12-23 1110576 ralphtwtw 昨天 14:12
[求助] 求助!!!ICC中milkyway的问题 ic_learner 2017-6-30 63780 绅士呵呵 昨天 11:30
[求助] 做综合时,开了multibit时序会变得很差是什么原因呢? New Hedonist 3 天前 3118 ywwuyifan 昨天 10:43
投票 [讨论] finfet 下都用什么PR工具?  ...234 1207312092 2019-8-15 3420959 darlingwqm 前天 22:14
悬赏 [求助] 有谁能指导下NT里的电路拓扑结构识别算法 - [悬赏 100 信元资产] New sunrr1987 前天 21:08 0107 sunrr1987 前天 21:08
[求助] RedHawk2020在做lab时,无法读取def文件 LuckyEDAZ 2023-9-15 5685 wrxs 前天 21:07
[解决] dual-port mem 的 mbist 时钟问题 attach_img New vivi_Tina 3 天前 477 vivi_Tina 前天 20:37
[讨论] DC综合进阶问题——深入探讨脚本综合一些细节问题  ...234 Synopsys-IC 2013-3-20 3727914 小美666 前天 18:54
[求助] 关于track方向的问题 New zhlbb 前天 11:03 180 后端小白0208 前天 17:58
[求助] redhawk Error:SLB-091 异常 New 15909834256 4 天前 483 15909834256 前天 17:32
[求助] 使用v2lvs命令将.v转换成.cdl后出来的结果不对 attach_img qmtt 2024-7-19 2173 15909834256 前天 17:31
请教关于formality读入svf文件的问题  ...234 knightliu 2009-10-24 3326607 darlingwqm 前天 17:28
投票 [原创] 数字后端设计职业未来方向选择 新人帖 zhoufengfan 2024-4-13 31482 king-wa 前天 17:25
[求助] 请问,标准单元库里有带上拉/下拉电阻的逻辑单元吗? picometer 2012-1-11 32032 darlingwqm 前天 17:24
[求助] 菜鸟来问:插入scan-chain之后,怎么做形式验证?  ...2 oscillator_cn1 2010-8-25 127372 darlingwqm 前天 17:22
[求助] 求助关于.bashrc的问题! attach_img New weiyujie429 4 天前 6179 chuchuang 前天 17:17
[求助] DC综合过程中调用的IP怎么处理 新人帖 New QQ3152820990 前天 17:03 040 QQ3152820990 前天 17:03
[求助] innovus mmmc文件  ...2 New 杨原仪 4 天前 13230 土肥圆的Alice 前天 15:21
[求助] 请问这些白×是什么vio?要怎么修? New zhlbb 3 天前 595 king-wa 前天 13:50
[求助] LVT,SVT,HVT各有什么特点?  ...2345 wyj_whu 2012-5-14 4557009 lianghuax 前天 11:32
[求助] 不同版本DC对set_clock_sense命令用法不一样吗? New xiaopet 4 天前 187 aqiqiqiqi 前天 10:02
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-27 15:14 , Processed in 0.015425 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块