在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: KongDu

[求助] Verilog 接口问题

[复制链接]
发表于 2023-3-2 20:22:00 | 显示全部楼层


TUJzzz 发表于 2023-2-20 17:19
verilog语法不支持二维数组作为输入输出端口吧?
我以前碰到过一样的问题,最终还是一个一个写了,会脚本的 ...


二维数组应该是支持的。
 楼主| 发表于 2023-3-2 23:24:50 | 显示全部楼层


bidrs 发表于 2023-3-2 09:25
你的写法是怎样, 报错的工具版本是?


我用的Vivado 2017.4


写法是  output reg [15:0] y_re [511:0];
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-13 23:56 , Processed in 0.015473 second(s), 5 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表