在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 2 |主题: 20974|排名: 10 

[求助] calibre run lvs时,界面直接提取schematic的网表,单位怎么设为um attach_img  ...234 hao_0071 2012-3-14 368611 Rucas 2014-2-27 09:39
[求助] TSMC 转SMIC  ...234 429842518 2012-6-26 3617341 haoren007 2018-10-23 16:13
[求助] STA Timing Report分析 attach_img  ...234 xjg@hmes 2013-4-3 3617457 bellinging 2016-7-20 10:34
[求助] CTS后DRC violation无法修复,显示dont_touch  ...234 dennisi123 2014-9-13 369482 dennisi123 2014-9-30 16:03
[求助] 用Liberate对时序单元触发器特征化 attach_img  ...234 亭ting 2019-3-19 3610325 xbuilder 2022-12-29 17:12
[求助] 跑encounter中lef的问题,请高人讲解,谢谢  ...234 zhongpeng366 2010-10-18 3519679 damonzhao 2018-3-23 11:48
[求助] 上海世芯(alchip)公司相关  ...234 鲲鹏2012 2011-11-13 3523633 wangyongan 2017-5-6 17:40
[求助] 如何读出.ile和.cxt文件?  ...234 lgy747 2011-11-18 3528109 lulu_CAD 2023-9-26 16:22
[求助] 尚未解决--PT产生的SDF文件中,hold time 没有按照lib中负值计算,不解,寻求帮助 attach_img  ...234 haier822 2012-1-19 3523156 33288289 2021-6-16 17:38
[求助] lvs的一个报错问题[已解决] attachment  ...234 estyzq 2012-2-27 3519631 damonzhao 2012-3-9 08:16
[求助] 求TetraMAX的lab guide attachment  ...234 ccpi 2012-5-21 3510641 tonyhard 2022-3-30 15:12
[求助] 求详解.lib组成结构 attachment  ...234 wsj3233687 2012-9-13 3513311 紫云lyj 2018-7-9 10:36
[求助] 如何在ICC中加入IO和POWER PAD? 在线等!  ...234 HP_ccyz2012 2012-9-22 3529195 duangduang 2023-7-31 09:48
[求助] gds转milkyway attachment  ...234 cxj2010 2012-10-15 3513734 t5234265 2023-5-26 14:18
[求助] cadence使用快捷键:按9使连接线高亮后怎么取消高亮。  ...234 yj5520379 2013-3-21 3532269 hfuttcy 2024-5-9 15:22
[求助] PT约束问题,求解 attach_img  ...234 1027199631 2017-3-22 3512154 23343woaiic 2022-10-17 19:47
悬赏 [求助] 求最新版本library_complier user guide - [已解决] attachment  ...234 智乐 2020-12-19 3614786 minn 2024-3-4 19:48
悬赏 [求助] pr时如何通过处理io文件改变port位置 - [已解决] attach_img  ...234 koukouni 2023-7-3 352304 koukouni 2023-7-11 15:35
[求助] 刚刚进入数字后端的学习  ...234 以后会怎样 2010-7-29 349836 chipsic 2015-3-19 15:26
[求助] 【已解决】LEF和GDS产生的FRAM、CEL有什么不同? attachment  ...234 Alicezw 2013-1-26 3416428 ningyuan198 2018-5-29 11:18
[求助] max_tran 和 max_fanout attach_img  ...234 FOREVER10 2021-12-20 357894 fangwang85 2022-8-10 13:53
[求助] encounter和icc哪个容易上手一些?  ...234 wjie8716 2010-9-11 3315044 学习中ing 2018-9-29 14:27
[求助] memory compiler生成的ram怎么和其他原文件一起用DC综合  ...234 love80238023 2011-1-4 3316567 zhang113 2020-7-7 11:20
[求助] calibre在做LVS的时候,power net找不到,然后就abort operation了  ...234 sages 2011-8-29 3540497 noname丶 2023-12-29 13:59
[求助] 分频器时序约束问题 attach_img  ...234 keelinx 2011-12-7 3325608 happylulu789 2024-2-26 11:49
[求助] cdl 与 spice的区别  ...234 lyz543212 2012-2-22 3327889 503860720 2024-1-8 16:41
[求助] AMD Verisilicon Marvell三个offer怎么选  ...234 poche89712 2014-10-9 3316811 astory 2015-11-20 16:41
[求助] power compiler and prime power  ...234 lulugui 2010-3-23 3214776 puxiancheng 2019-3-4 13:28
[求助] pitch与grid有什么区别  ...234 zczc999 2010-6-29 3219174 hadeheng 2020-11-27 20:52
[求助] soc encounter中partition是什么意思?  ...234 zh123456789 2010-7-11 329959 skjeon78 2016-1-24 09:55
[求助] PT进行时序分析的疑问 attach_img  ...234 妞儿 2014-5-21 329869 1027199631 2017-7-21 17:57
[求助] DC综合生成的ddc文件  ...234 522526tl 2014-11-12 3225994 y1226288791 2024-3-13 21:22
[求助] 哪位大牛有ICC2 2018 之后的user guide ? attachment  ...234 corner_26 2020-8-18 339884 dialsun 2024-4-24 23:08
[求助] 急!!STA和形式验证都过了,后仿却报出了关于recrem的时序违例 attach_img  ...234 ZHZIC 2020-11-14 3215847 saicom 2022-4-12 14:42
悬赏 [求助] 请问Innovus布局布线完了之后,怎么看标准单元内的版图 - [已解决] attach_img  ...234 阿炜 2021-4-30 3213574 阿炜 2023-7-27 19:41
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 10:07 , Processed in 0.047395 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块