在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 1027199631

[求助] PT约束问题,求解

[复制链接]
 楼主| 发表于 2017-3-24 22:09:22 | 显示全部楼层
回复 15# 大龄文艺男青年

有正负沿设计,占空比是有可能影响hold的,能不能具体点?有没有什么公式,图之类的
发表于 2017-3-28 14:51:11 | 显示全部楼层
我也想学习一下primetime
发表于 2017-4-12 17:47:20 | 显示全部楼层
说说我的项目经验吧,DC 的时候一般设置8%的uncertainty, 后端布局布线的时候一般设置5%的uncertainty, PT的时候一般设置3%的uncertainty,但是PT 的时候基于3%的uncertainty的有可能有slack 的出现, 这种情况下就人为去判断了
发表于 2019-1-23 16:27:06 | 显示全部楼层
回复 33# 教父

你这7% 5% 3% 是针对时钟周期而言吗  如果时钟周期是10M 你的值不就是7ns 5ns 3ns 这得增加多少面积啊  是不是过约束了
发表于 2019-11-27 14:48:04 | 显示全部楼层

请问下,你在CTS之后的sta对 clock input pin不用设set_clock_transition, 或者set_drive/set_driving_cell/set_input_transtion吗?尽管设了set_propogated_clock,但是clock从input pin到第一个buf, 在计算第一个buf的delay 是需要clock input pin的外部驱动条件的。

发表于 2022-10-17 19:47:35 | 显示全部楼层


教父 发表于 2017-4-12 17:47
说说我的项目经验吧,DC 的时候一般设置8%的uncertainty, 后端布局布线的时候一般设置5%的uncertainty, PT ...


你这7% 5% 3% 是针对时钟周期而言吗?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 01:08 , Processed in 0.022523 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表