在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 1027199631

[求助] PT约束问题,求解

[复制链接]
发表于 2017-3-23 11:52:28 | 显示全部楼层
回复 10# 1027199631


   这个不清楚,我之前都没有关心这个报告。还有你对clock的那些设置,应该是all_clocks,不能只约束clk_in吧,你这里还有generate clock呢
 楼主| 发表于 2017-3-23 12:04:09 | 显示全部楼层
回复 11# 杰克淡定


   这个问题已找到,可是为何后仿没问题呢?
发表于 2017-3-23 13:42:45 | 显示全部楼层
回复 12# 1027199631

漏掉约束并不意味着功能仿真一定会出错,这两者本身不是相互的充分必要条件。
 楼主| 发表于 2017-3-23 13:57:51 | 显示全部楼层
回复 13# 杰克淡定

后仿不是带延时的仿真吗?应该是时序仿真才对啊
发表于 2017-3-23 14:32:48 | 显示全部楼层




   如果有hold呢?降频也不管用的!
发表于 2017-3-23 15:36:05 | 显示全部楼层
后仿时的sdf覆盖率哪?
看你的clock设计,很可能生成的sdf也不全,有些path
反标的timing也可能缺少。
 楼主| 发表于 2017-3-23 15:41:00 | 显示全部楼层
回复 16# xworld2008


   这个覆盖率怎么看呢?
发表于 2017-3-23 15:47:29 | 显示全部楼层
看sdf反标时的信息,或者有个sdf 反标生成的log ,好像名字是sdf_annontation
 楼主| 发表于 2017-3-23 15:55:49 | 显示全部楼层
回复 18# xworld2008


   你是在VCS里跑的后仿吗?我是在Modelsim中跑的后仿
发表于 2017-3-23 16:03:50 | 显示全部楼层
modelsim没用过,看仿真的log中,搜索sdf看看有没有信息
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 11:34 , Processed in 0.027674 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表