在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 1 |主题: 20915|排名: 18 

[求助] set_wire_load_model 两种模式top和enclosed到底有什么区别? attach_img  ...23456 wohewangke 2011-12-12 5839004 rauljin 2022-5-24 16:34
[求助] 求推荐有关数字后端基本概念的书籍  ...23456 wjchuan 2012-7-6 5127508 zehcoolb 2017-6-5 16:32
[求助] Abstract提取lef求助 attachment  ...23456 gyl1125 2011-4-25 5322267 lndxeb 2023-12-19 14:30
[原创] 自动时钟树ECO解决方案 digest agree  ...2345 xixizhihua 2011-10-12 4827577 stupidly 2022-10-25 10:21
ModelSim后仿真的操作过程 attachment  ...23456..9 wakingbefore 2009-11-22 8818081 yueqianglxiaxia 2017-3-28 18:11
[原创] 面向微电子专业的免费培训—工艺规则DRC/LVS/RCX的Rule开发及验证 attach_img  ...23456..15 houjs 2018-4-1 14031490 xxso 7 天前
[求助] 求各位介绍几本IC compiler的书籍,谢谢谢谢 attachment  ...23456 zhanggd 2014-2-20 5114542 moslovesbjt 2021-5-24 22:24
[转贴] [转] 精简的数字后端流程培训教材 attachment  ...23456..7 chuyizi 2017-1-22 6216431 18812436116 2023-6-7 10:41
[求助] [已解决]set_input_delay的时间设置  ...23456 estyzq 2011-9-6 5550145 enjoy545352 2023-4-20 15:31
[求助] 求助,找書!Calibre xRC Student Workbook attachment  ...23456 semico_ljj 2010-7-28 5319990 chingyy000 2023-12-27 17:23
calibre中怎么用v2lvs把verilog网表转换成spice网表  ...23456..7 xiao_ga 2009-1-9 6143503 lingrui77 2023-10-25 10:33
[求助] 关于DC约束时钟的疑惑? attachment  ...23456 meijingguoyu 2010-11-16 5022702 mar 2020-12-1 10:06
[原创] SNUG 2022 优秀论文 attachment  ...23456..23 dummy_tony 2022-11-22 22313496 email_war3 3 天前
[求助] 已解决-DC与PT的时序分析差异,请帮我分析  ...23456 haier822 2011-12-14 5030210 wen90wwj 2023-2-7 10:35
[求助] 请教关于synopsys几个EDA软件使用遇到的问题  ...23456 明天更好 2013-11-21 5543772 北极的星辰 2023-4-27 16:07
[原创] 后端面试--每日一题(061) attachment  ...23456 陈涛 2011-7-23 5947559 Tsengsink 2023-7-28 21:20
[求助] I/O pads里面的PAD指的是什么?  ...23456 bookbike 2013-6-19 5338655 songzhihe66 2024-2-2 17:55
[活动] 列队欢迎 陈涛 !!  ...2345 idbi 2011-6-8 4215587 高小高 2017-3-17 09:26
[原创] 后端面试--每日一题(062) attach_img  ...23456 陈涛 2011-8-12 5425428 lfzhang 2022-5-4 20:00
Synopsys Tools安装以及License设定  ...23456..10 ybhsu2008 2009-10-10 9257034 peterji 2020-2-19 21:07
[求助] 新手学习ICC  ...2345 新手2009 2010-8-28 4813184 gedy 2011-9-23 10:14
[讨论] 65及45纳米工艺对设计的影响,伯克利做的一些研究数据和结果 attachment  ...234 spirittjh 2010-11-7 387486 chaobeyond 2013-7-12 23:56
[原创] 后端面试--每日一题(003)  ...2345 陈涛 2011-5-22 4517507 Alanloyd 2020-4-25 22:09
[求助] 【已解决】有人碰到过Calibre LVS通过但流片出来VDD和VSS短路的情况吗? attach_img  ...23456 meteor_lxy 2012-1-9 5436092 ADC_coco 2023-5-23 21:50
[转贴] 转帖--有关约束  ...2345 cathzhou 2013-5-18 4422493 trusttime 2023-7-3 11:45
[原创] 20nm工艺下后端设计的一些特点 digest  ...2345 陈涛 2012-2-19 4219167 airfan 2023-1-8 10:45
[求助] 【已解决】如何用GDS在Milkyway中产生FRAM attach_img  ...23456 Alicezw 2013-1-23 5527337 watereva2 2019-1-24 03:43
[求助] Synopsys PrimeTime 1 Workshop 2008.06 Lab 相關問題  ...23456 xavierjuan 2010-10-2 5018927 脚步足迹 2018-8-23 22:36
[求助] 后端流程,到底什么时候使用useful skew呢? attach_img  ...2345 xixizhihua 2011-2-28 4522990 kun31033 2019-7-31 19:20
[求助] 【已解决】Library Compiler 如何使用?  ...23456 Alicezw 2012-12-30 5925353 omidi.g.r 2020-5-25 00:33
[讨论] 啥区别Astro与ICC?  ...2345 conniezhou 2011-7-12 4630020 zampple 2021-8-17 15:18
[资料] PT分析版图后时序时用spef文件好还是用sdf文件分析好  ...2345 XIDIANCAD2 2012-1-9 4124881 dang64088 2022-8-22 16:49
[求助] calibre lvl  ...2345 X6J6P6 2012-2-8 4627357 jeffej 2022-2-21 17:11
[求助] endcap cell ,tap cell ,decap cell 的功能及原理[已解决] attachment  ...2345 1127208631 2011-11-15 4273001 Taylor0808 2024-3-4 18:15
[原创] 后端面试--每日一题(004)  ...2345 陈涛 2011-5-23 4016773 Alanloyd 2020-4-25 22:12
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 17:37 , Processed in 0.049985 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块