在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: haier822

[求助] 已解决-DC与PT的时序分析差异,请帮我分析

[复制链接]
发表于 2012-2-9 18:38:25 | 显示全部楼层
回复 20# haier822

谢谢楼主,非常感谢您的帮助,我想总结下您的回答,请您帮忙指正我的错误

关于第一个问题
1.在使用dc时,默认是使用bc_wc模式,所以,我在dc的时候,只需要使用set_operating_conditions -min XXX-max XXX,此时使用的是bc_wc方式
如果需要OCV,只要在最有加上analysis_type即可

2.关于第二个问题
根据楼主的方法,需要在pt中同时使用set_operation_conditions 和set_min_library才能达到bc_wc分析方式,set_operating_conditions和dc中使用一致,set_min_delay中加上-min_version为所用的bc分析库即可。

有个问题,pt中是不是也是默认使用bc_wc分析?如果要ocv分析,需要像dc那样加上-analysis_type?
 楼主| 发表于 2012-2-10 09:54:47 | 显示全部楼层
本帖最后由 haier822 于 2012-2-13 13:09 编辑

回复 21# estyzq

关于第一个问题
1.在使用dc时,默认是使用bc_wc模式,所以,我在dc的时候,只需要使用set_operating_conditions -min XXX-max XXX,此时使用的是bc_wc方式
如果需要OCV,只要在最有加上analysis_type即可
答:是的。不过在DC中没必要使用OCV,因为时钟是理想的。只需bc_wc即可。
2.关于第二个问题
根据楼主的方法,需要在pt中同时使用set_operation_conditions 和set_min_library才能达到bc_wc分析方式,set_operating_conditions和dc中使用一致,set_min_delay中加上-min_version为所用的bc分析库即可。
有个问题,pt中是不是也是默认使用bc_wc分析?如果要ocv分析,需要像dc那样加上-analysis_type?

答:在PT中设置set_operating_conditions -min XXX-max XXX 会使PT达到bc_wc分析方式,但还需设置好库的指定。设置link_path wc.db 和set_min_library wc.db -min_version(上面你误写成了set_min_delay了)  是为bc_wc分析方式指明正确的库,就是用wc.db库去分析max_delay,用bc.db库去分析min_delay。根据PT的user guide,PT首先用link_path对应的库去分析max_delay,然后针对同一路径再去用-min_version对应的 bc.db库去分析min_delay,是这么一个过程。所以要设置好set_operating_conditions 和set_min_library,才能使PT实现你期望的bc_wc分析方式。
如果你这么写set_operating_conditions -min XXX-max XXX,那么PT默认使用的是bc_wc分析方式,如果要OCV分析,是要加上-analysis_type,这一点和DC是一样的。
发表于 2012-2-11 10:56:01 | 显示全部楼层
很不錯的一篇文章!!
发表于 2012-2-17 16:52:18 | 显示全部楼层
回复 22# haier822


   你好,我最近在按照你的方法重做一次pt sta;我用的工艺是将pad和core分开的,他们分别有worst和best两个库
现在的设计是带pad的设计,那么我在set_min_library的时候是不是需要将pad和core的两个对应的worst库都放在 -max 的后面?还是只要core的就可以了?
发表于 2012-11-7 09:13:42 | 显示全部楼层
很好,标记,学习下
发表于 2015-3-18 11:27:44 | 显示全部楼层
mark一下
发表于 2015-5-27 13:52:26 | 显示全部楼层
mark一下
发表于 2015-7-6 14:53:55 | 显示全部楼层
学习中。
发表于 2016-1-27 15:17:28 | 显示全部楼层
多谢楼主,学习了
发表于 2016-4-27 16:34:43 | 显示全部楼层
学习中!!!
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 21:09 , Processed in 0.035486 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表