在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: 陈涛

[原创] 后端面试--每日一题(061)

[复制链接]
发表于 2012-2-22 13:15:46 | 显示全部楼层
看不懂啊……
发表于 2012-2-22 13:28:06 | 显示全部楼层
可能是我bc_wc和mcmm的概念有点混乱,我想请问一下,在bc_wc模式下,wc corner就不考虑hold的check吗?
发表于 2013-4-8 17:00:11 | 显示全部楼层
本帖最后由 ikey 于 2013-4-8 17:19 编辑

回复 21# abao123


    可以这样理解,insertion delay是由于插在clock path上的delay导致的latency,目的是cts为了min skew,那么,在clk port插入的delay使得clock path相对于data path整体延时了insertion delay,这样的话,由于datapath不变,相对来说,in2reg clockpath被“拉伸”了,相对而言,hold vio, reg2out clockpath被“压缩”了,setup vio. reg2reg没变化。在外部约束条件没有变化的情况下,原来place之后做平的setup, hold在经过cts后,做sta会有vios。所以,前面的老师会提出解决办法:input_delay+delay,output_delay-insertion delay。

input_delay

input_delay

input_delay

output_delay

output_delay

output_delay
计算hold vio是bc,所以是-0.1ns,setup vio是wc,所以是-0.2ns。
发表于 2013-5-26 11:23:41 | 显示全部楼层
修改virtusl clock的uncertainty -setup/hold
发表于 2013-6-13 09:57:10 | 显示全部楼层
回复 8# lz1920

您好,问下,如何在PT中实现你说的这样的功能?谢谢!
发表于 2013-6-14 14:42:41 | 显示全部楼层
回复 9# 陈涛


    是不是都是-0.2啊
发表于 2013-6-14 14:48:03 | 显示全部楼层
是不是都是-0.2  求指点
发表于 2013-6-15 23:26:34 | 显示全部楼层
回复 26# ikey


   本人新手有几个问题想请教下,还望指教1.用WC计算setup,BC计算hold是工具默认的吗?我记得在时钟固定的情况下是要这样算的,在这里我认为setup和hold都应该用WC来计算才对啊
2.in1 to reg2为啥没有hold违例?我觉得这条路径跟in2 to reg1是一样的啊
3.有人说这个违例都是假的是咋回事?为什么可以用虚拟时钟来解决?
发表于 2013-6-17 18:26:53 | 显示全部楼层
回复 31# 一叶孤城


       本人新手有几个问题想请教下,还望指教1.用WC计算setup,BC计算hold是工具默认的吗?我记得在时钟固定的情况下是要这样算的,在这里我认为setup和hold都应该用WC来计算才对啊
2.in1 to reg2为啥没有hold违例?我觉得这条路径跟in2 to reg1是一样的啊
3.有人说这个违例都是假的是咋回事?为什么可以用虚拟时钟来解决?
####################
对于1,2点请说明你这么认为的理由。
3.简单点说,因为做tree的时候为了保证skew,工具会插入延时,这时工具如果没有update clock latency,对于reg2reg边界条件设置又没有变化,所以工具错误地认为产生了违例,具体请参考26楼,针对假违例我一般update clock latency,不知道是不是你说的虚拟时钟。
发表于 2013-6-19 00:20:48 | 显示全部楼层
回复 32# ikey


   第一点我也不知道怎么说好;
2.    reg2reg没违例是因为两个寄存器的时钟都延迟了相同的值?in1 to reg2路径因为reg2时钟的延迟,也被拉伸啊。。。为什么没违例?


3.    这个违例可以通过重置输入输出延迟来消除,所以是假的?
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 02:51 , Processed in 0.029666 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表