在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收起/展开 收藏本版 (271) |订阅

Analog/RF IC 设计讨论 今日: 41 |主题: 47519|排名: 2 

讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
悬赏 [原创] 求助一个LDO补偿原理分析(信元加一个反向电路做酬谢) - [已解决] attachment  ...23456..10 gm-cfiltersz 2014-4-27 9361511 samd 2023-6-2 13:36
悬赏 [求助] 求POR上电复位电路的资料 - [已解决] attachment  ...23456..11 老土1990 2014-6-19 10166937 chinapr 2023-10-25 16:33
悬赏 [求助] 求展讯内部员工或相关信息了解者预测展讯未来,比较展讯,MTK,海思!!! - [已解决]  ...2345 JoyShockley 2014-9-20 4223884 king0798 2016-8-29 09:50
悬赏 [统计] saradc仿真测试差别大,可能是什么原因? - [已解决] attachment  ...23456..7 typhoon222 2016-3-26 6519515 jeffej 2017-12-4 18:20
悬赏 [原创] 这个是ESD么??? - [已解决] attach_img  ...234 istart_2002 2014-10-16 3412187 xxl04120184 2015-11-29 21:10
悬赏 [求助] 测试dac的静态和动态指标 - [已解决] attach_img  ...234 wgc2013 2014-2-26 3719736 kuzh 2021-11-8 15:23
悬赏 [求助] LDO产生的电压能给自己供电么? - [已解决] attach_img  ...23456 istart_2002 2015-8-21 5915613 istart_2002 2015-9-18 13:32
悬赏 [求助] 模拟精粹问题求细解 - [已解决] attachment  ...234 camusaaron 2013-4-3 3612946 彭盛輝 2018-3-2 12:47
悬赏 [原创] 要做一个12bit SAR ADC, 主流做法都是参照哪篇文章来做的? - [已解决] attachment  ...23456..8 dannyduan 2021-8-15 7825248 2285266924 2024-4-12 15:18
悬赏 [求助] 求此启动电路详细的工作原理 - [已解决] attach_img  ...23 小孩忒坏 2015-4-28 2415778 SlaterYoung 2022-2-25 14:59
悬赏 [原创] 比较器的最小共模输入范围是450mv,有什么办法可以比较100mv么? - [已解决] attach_img  ...234 istart_2002 2014-11-3 359598 istart_2002 2015-6-2 16:00
悬赏 [原创] RC振荡器的电容能用MOS电容么? - [已解决] attach_img  ...2345 typhoon222 2017-3-16 4615004 darkduck 2023-10-31 14:09
悬赏 [讨论] 这个三极管什么用? - [已解决] attach_img  ...23 istart_2002 2013-11-11 247312 semico_ljj 2013-12-21 16:00
悬赏 [求助] tsmc65电压 - [已解决] attach_img  ...234 zyw543716900 2015-10-25 3819430 WL8906 2024-2-27 14:29
悬赏 [求助] 做芯片的前途在哪里?????? - [已解决]  ...2 qfn 2015-10-9 185448 hanks2007 2018-10-5 09:47
悬赏 [原创] 比较器两个输入端之间夹个电阻是干什么用的??? - [已解决] attach_img  ...234 istart_2002 2014-10-11 3812139 istart_2002 2014-10-16 20:25
悬赏 [求助] 关于混仿中,利用verilog代码生成symbol的问题,先谢谢了 - [已解决] attachment  ...23 archon1117 2013-7-3 2923306 pihc_mj 2019-6-7 20:05
悬赏 [求助] 几十M的宽带active RC filter要怎么设计? - [已解决] attachment  ...23 qfn 2015-8-7 227533 efong 2016-6-30 16:45
悬赏 [求助] 为什么sfdr提不上去 - [已解决] attachment  ...2 fuzhibo 2012-9-14 2010925 zhanghao1403 2016-8-20 17:08
悬赏 [原创] 基准输出接大点电容会震荡,可以怎么改进? - [已解决] attachment  ...23 istart_2002 2015-8-27 237226 forest820 2015-9-11 16:11
悬赏 [求助] IC615 ADE_XL 蒙特卡洛 Monte Carlo Simulation 设置求助 - [已解决]  ...2 bunnylady 2015-9-6 1921486 DRV8301 2023-7-25 11:30
悬赏 [原创] 这个电阻和电容是? - [已解决] attach_img  ...2 istart_2002 2014-10-24 195594 istart_2002 2014-10-27 16:03
悬赏 [原创] 圆圈内的管子有什么用? - [已解决] attachment  ...2 istart_2002 2015-3-18 194768 istart_2002 2015-3-25 19:47
悬赏 [讨论] 关于时钟IO的设计 - [已解决] attach_img  ...23 fancyhana 2013-9-4 2611240 Candydarte 2023-3-19 21:55
悬赏 [原创] LDO左上角这个管子是什么意思? - [已解决] attachment  ...23 typhoon222 2017-2-20 236793 银色子弹 2017-3-8 15:53
悬赏 [求助] 模拟基带滤波器中运放里面的共模反馈稳定性问题 - [已解决] attach_img  ...23 bilinzhuxiao 2011-12-23 2618621 jiangxia0hei 2022-11-1 15:17
悬赏 [求助] Bandgap蒙特卡洛仿真失调太大,求大神解答!!! - [已解决] attach_img  ...23 光影之约 2017-3-22 2412309 yezii 2023-11-10 15:32
悬赏 [求助] 差分放大结构问题。。。 - [已解决] attach_img  ...2 gongsui1989 2013-11-8 155258 从此以后01 2017-12-20 14:06
悬赏 [求助] 如何改善这个带隙的monte carlo的结果 - [已解决] attach_img  ...2 istart_2002 2014-9-11 197050 jeffej 2021-5-10 11:39
悬赏 [活动] 电流镜负载和电阻负载比有哪些优势? - [已解决] attach_img  ...2 istart_2002 2014-11-26 176152 hszgl 2014-12-16 14:49
悬赏 [原创] 这两根线为什么是一根线? - [已解决] attach_img  ...2 istart_2002 2015-8-3 164172 zhoujian0907 2015-8-9 16:28
悬赏 [求助] 为什么这个管子内阻可以小到50ohm? - [已解决] attachment  ...2 typhoon222 2015-12-12 174891 andy2000a 2019-7-10 09:18
悬赏 [原创] 四选一MUX用哪个好? - [已解决] attachment  ...2 istart_2002 2015-12-14 189096 xyf333 2023-1-28 14:22
悬赏 [原创] POR最后的反相器和NOR是什么作用? - [已解决] attach_img  ...2 istart_2002 2016-12-5 147225 aimu_wl 2023-9-13 19:28
悬赏 [求助] Hspice tran仿真最后一步各节点的状态保存 - [已解决] attach_img  ...2 bsaqycx 2013-12-4 2011146 quhuaibo 2018-5-21 18:43
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 12:41 , Processed in 0.038637 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块