在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] FPGA程序时好时坏,求原因。。。  ...234 shine_hh 2014-3-7 318119 ja5623 2014-5-2 01:53
[求助] 今天一家公司的笔试题目(数字IC前端)请教 attach_img  ...23 hfyfpga 2014-10-25 295907 zzsok 2015-3-14 22:10
[原创] ic行业应届毕业生求职记录 digest  ...23 nixiaodao 2015-10-14 2713459 善良的绅士 2018-6-25 23:48
建议版主发起讨论:hdl设计时怎样可以节约资源 digest  ...23 showting 2004-7-31 2612299 ranqi 2019-2-11 15:20
vim编辑verilog的妙用 attachment  ...23456 heavywater 2009-9-19 5520453 durbin 2021-7-13 13:09
[原创] modelsim版本不同仿真不同吗 attach_img  ...234 陶志妖妖 2012-7-14 328768 gyj_in 2015-7-13 13:32
[原创] 敝人敝见 attach_img digest  ...234 zhq415758192 2012-10-23 3721211 ever4ever 2020-5-21 16:39
[原创] 数据采集卡的实现!!! digest  ...234 shiyinjita 2013-3-20 3512781 icqw1983 2014-2-16 19:27
[解决] ISE14.3License破解步骤以及解决Win8不兼容的问题 attachment  ...23 xiaoyuer598 2014-4-4 2911839 zhang9yeah 2020-2-19 01:24
[资料] MIPI I3C白皮书 attachment  ...234 dianfengqishi 2017-5-23 3612020 霍格沃兹 2023-11-9 17:15
[讨论] 做ASIC最核心的部分是用Verilog实现算法吗  ...23456..8 bandit 2010-5-27 7819814 lhw123 2018-11-27 15:16
[招聘] FPGA高手兼职设计接口设计  ...23 zengbingzj 2010-11-18 268694 jupitars 2015-9-9 18:08
[讨论] 各位大侠来讨论一个verilog的问题  ...23 shxr 2011-1-19 276590 123__456 2011-2-28 23:43
[求助] FPGA编程BUG不能升级,导致了用户退货,要不要辞职呢?  ...234 shiyinjita 2011-9-20 396843 shiyinjita 2011-9-28 07:23
[求助] 请你们一定要进来看看,否则FPGA的道路上又要少一个人,真诚的心,一起学习FPGA, attach_img  ...234 付明浩 2014-4-18 339179 tjpu_wu 2017-5-25 10:55
[原创] 基于FPGA的3D视频开发,不断更新中... attach_img  ...23 gvi-tech 2014-12-13 2511389 ikanbilis 2021-4-6 10:35
桶形移位器的原理 求教~~ attachment  ...23456..10 megatracy 2008-11-17 9228915 cah07 2019-8-28 11:34
[求助] 求书:FPGA Simulation: A Complete Step-by-Step Guide  ...234 klopstock 2010-7-9 3616509 iwktd1220 2023-2-1 16:31
[求助] 一个简单的verilog程序,小数能直接赋值吗  ...234 tianyu751 2012-5-23 3610625 tiangua 2012-12-16 22:26
[资料] Xilinx 产品选型指导 attachment  ...23 ocean1234567 2012-10-31 215545 kimiranda 2013-5-16 13:58
[求助] 关于数字IC设计与FPGA设计的区别  ...23 huikong2013 2014-4-10 2716045 wucaidai 2015-12-6 21:11
[讨论] 一直都不太明白Verilog不完整的if语句会产生锁存器,前辈筒子们杀进来。。 attach_img  ...23 fkl523 2014-10-21 259157 黎释注册 2016-9-23 09:01
[讨论] 快时钟域域到慢时钟域 attachment  ...23 谁枫而飘 2015-7-12 279730 安丶和桥 2016-11-21 18:29
Clock_Dividers_Made_Easy attachment  ...23456..9 alex_bai 2006-10-31 8725842 christ0426 2019-10-15 07:26
[资料] 2017 Formality Training。教程 attachment  ...234 脚步足迹 2018-8-10 348829 uchida 2022-10-13 09:41
[原创] 让人蛋疼的Xilinx->ISE->XST综合器,看到这个结果哥Hold住了!! attachment  ...23 canoeeda 2011-9-14 2711579 pinggougou 2016-5-15 13:33
[资料] 赛灵思中国通讯46期电子书  ...23 jackzhang 2013-1-15 2113617 zhufy1 2013-3-1 18:11
[求助] 请教一下同步fifo及异步fifo深度的计算原理及方法  ...234 qqiummo 2014-11-24 3115508 moon_y 2017-8-31 20:13
[原创] 直流电机PWM产生电路,Verilog实现 attachment digest  ...23 xinzaihenhao 2015-10-4 2913015 zxyglx 2021-4-21 12:15
[资料] AMBA_3_AHB-Lite协议中文版 attachment  ...234 chnlw111 2018-3-11 3110884 dreamfly123123 2024-4-7 16:50
[求助] 分频出来的时钟不稳定 问题 请高手指教 attach_img  ...234 lee2008 2012-12-22 3113361 wsphynb 2015-6-19 16:50
[原创] [连接Vivado和Matlab的全自动化操作]  ...23 yaoguaiws 2013-3-30 2413275 张乐 2019-7-11 16:52
[讨论] DVE和verdi  ...23 microsofthard 2010-10-12 218576 polarishine 2016-7-30 11:16
[求助] 希望数字IC前端的朋友,给点儿建议  ...23 comeon_lsl 2010-12-4 226379 skle 2010-12-27 23:04
[原创] 关于NAND FLASH Controller  ...2 axpro 2011-9-3 1816456 Jeff101 2017-5-21 10:13
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 19:59 , Processed in 0.063418 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块