在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: fkl523

[讨论] 一直都不太明白Verilog不完整的if语句会产生锁存器,前辈筒子们杀进来。。

[复制链接]
发表于 2016-8-12 19:23:39 | 显示全部楼层
学习了
发表于 2016-8-13 00:05:16 | 显示全部楼层
学习了
发表于 2016-9-21 11:33:35 | 显示全部楼层
学习了
发表于 2016-9-22 12:05:48 | 显示全部楼层
回复 2# 老阮


   第1句表述是不是有误
发表于 2016-9-22 17:19:42 | 显示全部楼层
本帖最后由 SYoong 于 2016-9-22 17:23 编辑

回复 2# 老阮


   如果第一种情况中没有使能端,只是简单的边沿触发的触发器,应该也会生成锁存器?还是说沿触发的就稳定不会产生锁存器,(因为其有自动保持功能),而电平触发的不能自动保存数据,因此就会生成锁存器?
发表于 2016-9-23 09:01:03 | 显示全部楼层
我是这么理解的,在一份资料看的,
时序电路=组合逻辑电路+储存电路;
储存电路有两个:锁存器(latch)和触发器;
边沿触发产生触发器,
电平触发产生锁存器,
多个触发器组成寄存器,

当描述的是时序电路,寄存器变量对应的寄存器,
描述的是完整的组合逻辑电路,对应为硬件连线,
当描述的是不完整组合逻辑,则寄存器对应的是锁存器;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 13:33 , Processed in 0.023412 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表