在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 寻找数字IC设计的小伙伴 stellavip 2024-4-1 2462 yjfzzz 2024-4-8 17:53
请问designware 怎么在fpga上应用? attach_img  ...234 sylkyo 2008-6-3 3916159 heidong123 2024-4-8 17:27
[求助] DC综合时时序约束 get_pins data_reg/D找不到,但是在compile_ultra之后可以找到,请大神指点这....  ...2 yuming_ziyi 2022-12-16 143101 ahzhangyq 2024-4-8 17:21
[原创] BT5.4 Release_Note attachment qianqian123 2024-1-20 4586 qianqian123 2024-4-8 17:18
[求助] PCIe上电配置内存空间问题 neXtime 2024-4-8 2290 neXtime 2024-4-8 16:51
[求助] 镁光eflash模型 attachment  ...2 erli 2020-7-23 114164 zerohua 2024-4-7 20:59
[资料] AMBA_3_AHB-Lite协议中文版 attachment  ...234 chnlw111 2018-3-11 3111299 dreamfly123123 2024-4-7 16:50
[求助] 学习IC有没有什么好的入门资料 dreamfly123123 2024-3-29 3404 puxiancheng 2024-4-7 14:11
[原创] virtuoso终于出新版本了,知道的人帮忙看下,有什么改进。 attach_img ic_expert 2024-4-7 1532 freecore 2024-4-7 13:41
悬赏 [求助] 加法器的设计 - [已解决] attach_img ahzhangyq 2024-4-2 5532 ahzhangyq 2024-4-7 11:46
[讨论] 实际项目中lint检查如何执行 maws 2023-9-14 1682 Remel 2024-4-7 10:12
[资料] Formal+STA 能否代替 Post-Sim attachment  ...23 河源皮 2017-10-24 267278 yuanpin318 2024-4-5 09:55
[求助] IIC从机的DC综合,时序图出现高阻态 追驰Chasing 2024-3-14 7409 追驰Chasing 2024-4-4 16:34
[资料] 画数字时序图的visio库 attachment  ...23456..8 xcan2012 2022-1-25 7114575 dimeleven 2024-4-4 15:48
[原创] Verilog基本电路设计之二(时钟无缝切换) attach_img digest  ...23456..12 杰克淡定 2016-6-16 11671367 cmmjava 2024-4-4 13:47
[原创] 特权同学倾情奉献9G海量FPGA学习资料(这是最新版,希望版主删掉原来的)  ...2 rousong1989 2014-7-16 186088 yafing91 2024-4-4 10:38
[求助] 急求!!DC打不开 ceciliayan 2024-3-31 5339 ceciliayan 2024-4-4 10:04
[讨论] USB/PCIe/SerDes/MIPI/DDR/HBM交流~ 新人帖 桃灰灰 2024-3-8 5576 bt6767ak 2024-4-4 10:03
[求助] xilinx vu9p系列使用synopsys的identify抓取波形异常 新人帖 774110102 2024-4-3 0282 774110102 2024-4-3 18:25
[求助] 求助!!verilog代码在virtuoso里进行ams仿真遇到digital to digital问题 liuzhuozhuo 2024-4-3 1296 liuzhuozhuo 2024-4-3 17:15
[原创] 求助!!verilog代码在virtuoso里进行ams仿真遇到digital to digital问题 liuzhuozhuo 2024-4-3 1229 liuzhuozhuo 2024-4-3 16:39
[求助] 关于DC综合后slack为零的问题求助啊!!!  ...2 hysterialee 2012-9-29 1810371 FPGA死忠粉 2024-4-3 16:29
[资料] 一篇关于硬件木马的论文 attachment  ...23 血溶伊剑 2015-6-26 288772 伪界 2024-4-3 15:04
[求助] PDK的器件输出负载电容为0,dc max_capacitance违例 新人帖 attach_img chenyipangyou 2024-4-2 1319 chenyipangyou 2024-4-2 23:16
[求助] 求教DEMOS和LDMOS的工作原理 attachment  ...23456 seuzhangrui 2013-9-1 5136863 神采-飞扬 2024-4-2 18:15
[讨论] 看AMBA lite总线时忽然就悟了,多核处理器cache一致性问题  ...2 dodoee 2023-4-3 112134 damaoguai 2024-4-2 16:19
[转贴] mipi csi协议解析 nirvana_pear 2024-4-2 0241 nirvana_pear 2024-4-2 12:11
[求助] 斩波放大器测试,测试Drift时发现用socket测大概50nV/℃,直接焊到pcb上测10nV/℃ SilverCrow 2024-4-2 0212 SilverCrow 2024-4-2 11:49
[求助] 关于spygalss的warning,STARC05-1.3.1.3 新人帖 eexng 2023-3-4 73422 叫我草草 2024-4-2 10:38
[原创] 深圳 数字设计 微电子猎头 2024-4-1 0284 微电子猎头 2024-4-1 16:25
[求助] 时钟约束需要给一定裕量吗? Wade_ 2024-4-1 3319 Nodzzz 2024-4-1 16:21
[求助] altera的tse ip核上板调试求助,卡了几天,请做过的朋友帮助一下,谢谢! attach_img  ...2345 powermike628 2013-6-10 4015905 jimingchun 2024-4-1 15:49
[求助] 请问各位,这个数字模块是干什么的?? attach_img 你眼中的我tzt 2024-3-30 2502 m8510a 2024-4-1 14:33
[原创] 数字典型电路知识结构地图,请大家参考,也希望积极补充! attach_img digest agree  ...23456..30 rosshardware 2018-9-4 296123558 paley_p 2024-4-1 11:32
[资料] AXI4协议_ACE协议 attachment  ...2 chendiyi 2020-10-3 135353 xiaogou1233 2024-4-1 11:02
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-2 06:09 , Processed in 0.017764 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块