在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10093|回复: 18

[求助] 关于DC综合后slack为零的问题求助啊!!!

[复制链接]
发表于 2012-9-29 09:45:24 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
用DC综合以后 slack为零 ss tt ff 下 都没有明显的变化 分别是 0  0.02  0.04  我跑的是125M频率 频率下降一倍以后才会有明显的slack余量  请问 这个 可能是什么原因  约束里面没有对面积进行约束   是代码的问题 还是说 我加的时序约束上面存在问题 ?
发表于 2012-9-29 17:51:57 | 显示全部楼层
这基本是DC的正确功能。
因为增加slack (搞成正值),需要电路的delay更小。意味着面积更大。
DC只要满足了你的时许要求,为什么要把面积弄那么大呢?
所以你降低频率后,area一般有相应的下降。
 楼主| 发表于 2012-10-8 21:37:18 | 显示全部楼层
回复 2# yangyuf1


    那我是不是可以认为 这个结果是可行的,就是说代码在这个速度下是可以实现的,仅DC综合的结果来看。
是不是可以进行下面的工作了呢~
   还有一个问题是 ,有没有办法得到更大的slack ,是对面积做一定的约束么? 还请你不吝指点下 ,先谢谢啦~
 楼主| 发表于 2012-10-10 00:04:18 | 显示全部楼层
还希望有人能再指点一二啊 各位大神
发表于 2012-10-10 00:57:21 | 显示全部楼层
dc 的结果 和 约束在一个小范围内可能是线性相关的。但全局上不是。
你改动一点约束,结果没什么变化,或者结果变化很大。都是可能的。要看约束到什么程度。

能不能做下一步,除了看你综合结果,还要看你的约束质量。
约束太松了,综合很好通过,但到后面可能不行。
这个需要前后结合,综合判断。
 楼主| 发表于 2012-10-10 13:29:42 | 显示全部楼层
回复 5# patrick007


    哦,谢谢大神回答。我现在没有约束面积,现在这样的slack是不是跟这个有一定的关系 。
  我觉得加约束这方面 体会还是不够 没有一个比较明确的概念。 也不知道是代码的问题还是自己
约束没有加对,这个就太头疼了
发表于 2012-10-11 00:16:14 | 显示全部楼层
加任何一条约束都有可能改变结果。
但这个改变可能非常不明显。
比如你加一个比你现在面积大得多的约束。
我不太清楚你脑中是怎么看待目前这个slack的,我没感觉有什么异常。不太清楚你怎么想的。

约束的意义在于,在设计早期,把后端的真实情况模拟出来,告诉综合软件。
综合软件按照你给的条件,返回给你一套使用了某种库器件的设计。
你拿这个设计到后端,各种真实的物理条件(负载,时钟树各点的偏移,ir drop 等等等等)加上后,依然接近或者满足你当初的设计目标。如果达到这一步,剩下的修正工作可以限制在物理设计阶段。

很多恰到好处的约束都需要有一些个经验值,不清楚的话,可以问问后端或者流片的厂商。
你约束做的太狠了,你自己难受。太松了,后端难受。
也有可能你什么约束都没有,都打不到要求,那是rtl太差了。
基本上是这么个意思。
发表于 2012-10-11 02:39:04 | 显示全部楼层
dc 的结果 和 约束在一个小范围内可能是线性相关的。但全局上不是。
你改动一点约束,结果没什么变化,或者结果变化很大。都是可能的。要看约束到什么程度。

能不能做下一步,除了看你综合结果,还要看你的约束质量。
约束太松了,综合很好通过,但到后面可能不行。
这个需要前后结合,综合判断。
发表于 2012-10-11 15:22:28 | 显示全部楼层
就说一个时钟来说, 在你的约束中有不确定时间的, 那一部分时间可以当成你的余量, 你可以布局布线后在做一下时序分析, DC过后的时序仅供参考, 要以时序分析的结果为准的
 楼主| 发表于 2012-10-15 22:57:09 | 显示全部楼层
回复 7# patrick007


    谢谢你的回复,看了你的话好像懂了一些了,很多东西都不是单单的去考虑slack就好了的。看来自己还是看到太浅 ,还是得多多学习啊~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 03:53 , Processed in 0.031255 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表