在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 自己写的sd卡,支持sd bus 1线和4线,并且fpga测试通过 attachment digest  ...2345 caicaixuehua 2013-8-31 4113669 jpbrown19 2016-8-1 13:56
[讨论] 大家开发FPGA用Verilog还是VHDL?  ...234 hgk1992 2011-1-25 347806 飞跃疯人院 2011-5-8 10:30
[求助] FPGA实现UDP/IP协议 attach_img  ...23456 yanqiang231 2012-9-6 5324177 stream303 2017-12-22 17:23
[求助] 求书:全面的功能验证:完整的工业流程 attachment  ...234 chibijia 2010-4-21 3718512 rainingud 2020-6-24 23:00
[资料] 【强烈推荐】***SunBurst 论文合集*** attachment  ...2345 Felix_ZYF 2016-1-25 4812837 willtuna 2023-1-16 21:51
[求助] apb协议的书写 attachment  ...2345 henghengnana 2010-11-24 4118500 dqwuf2008 2020-11-5 14:50
[求助] 关于补码的加法和乘法运算 attachment  ...2345 larlyii 2011-5-31 4223172 smarthb 2021-6-18 11:17
[资料] VCS makefile脚本介绍 attachment  ...23456..7 yy00668 2016-9-10 6222586 hustbear 2023-8-17 08:58
FPGA学习小结(一)  ...23456 jerome555 2009-5-8 568878 tzhaody12 2011-11-28 21:59
novas的verdi和debussy是干什么用的  ...23456..9 jiexiongw 2007-12-31 8531696 永远的牛虻 2015-10-29 09:52
[资料] 该贴过期 编辑  ...2345 designstart 2014-3-7 4313845 cikulangsat 2017-9-27 22:37
[资料] FIFO经验谈 attachment  ...2345 zxopenwgb 2016-9-21 4310289 yzwbb2013 2022-4-5 20:54
[资料] 如何以硬件思维看穿你的verilog attachment  ...23456 MACROY 2018-1-23 5114163 sugarlovestudy 2024-1-10 14:11
[求助] pcie与DMA求助  ...234 yangkaiqu 2011-10-19 3719066 whuan 2016-8-22 13:22
[求助] 谁能介绍一下乒乓异步FIFO attachment  ...234 xiaocanmeng 2011-8-3 3714536 tchell 2017-7-11 18:21
[讨论] always实现组合逻辑.常用吗?  ...234 forlsy 2012-5-29 3613483 expoed 2017-5-10 11:05
[讨论] 国内IC设计,哪个城市最成熟?  ...234 chinaleo 2011-3-19 368400 yepizhou 2011-5-28 14:19
[活动] IC设计与SoC设计研修班(带实验,基于OpenRISC,送套件)  ...234 Linksprite 2016-6-3 3712805 durbin 2021-9-7 13:21
[求助] Verilog中TASK是不是只有组合逻辑时才可以综合?  ...234 cleocss 2010-8-14 3723335 fzm2152 2018-5-8 15:20
[讨论] 讨论一下数字IC设计工程师的发展前景如何  ...234 yushuiyang1986 2013-8-24 3627547 icreg 2015-11-24 17:25
[求助] ISE12.4不能调用synplify201009  ...234 ltx20000 2010-12-27 3618452 qww634872760 2020-7-14 15:15
[原创] 高手进来:关于余量slack的一点思考  ...2345 zhinvxing 2011-3-1 4412050 macharith 2015-1-3 08:10
[原创] specify block的总结 attach_img  ...23456 jun_dahai 2012-1-13 5829390 wanglp1976 2023-10-17 01:36
[求助] 求教:XILINX:DDR3 IPCORE--MIG attach_img  ...23456..7 曾经擦肩 2012-3-13 6335412 ControllerA 2017-5-6 17:34
[原创] FPGA工作真心累。。。苦被啊。。。。  ...234 Turbo_Jet 2014-4-19 347942 diyangguang 2014-5-8 22:39
[原创] 华为fpga开发资料 attachment  ...234 yangyanwen123 2016-8-7 336498 chaner2010 2016-9-20 17:47
[原创] NotePad++ verilog 编辑增强版插件 attach_img  ...234 lich6612 2016-10-7 3516477 randolpha 2021-4-4 11:20
[原创] FPGA Tools Synthesis QoR Benchmark digest agree  ...234 Timme 2013-6-22 3624462 keepfaith4ever 2021-11-24 11:17
[求助] DDR2 controller求助  ...23456 l2002924700 2012-2-29 5322175 abner_cheng 2017-11-7 12:18
[求助] verdi软件使用,波形背景颜色 attachment  ...2345 9065574912 2014-12-22 4620152 wangyuankun 2023-12-21 20:48
[求助] 求助,linux下modelsim license的问题  ...23456..7 terrylizi 2010-1-23 6935487 bahramrn 2023-11-7 00:34
[求助] 很迷茫 到底如何学习数字IC  ...2345 我擦泪 2012-12-30 4119526 罗啸 2021-10-10 22:09
[求助] FPGA设计,接下来该怎么走?求指导  ...234 df_lala 2014-6-12 3613349 ye_hong_lei 2015-4-1 12:55
[资料] Verilog HDL书稿解密 attachment  ...2345 zxopenwgb 2016-9-25 4012793 MARKcz 2021-7-30 17:18
[讨论] 数字IC的困惑——怎么去增加自己的“功力”  ...23 subrant 2011-7-16 299266 chenredbin 2015-1-18 23:51
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 17:57 , Processed in 0.061902 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块