在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 0|主题: 6528|排名: 15 

[求助] gvim编辑器中 uvm语法高亮怎么弄 attachment  ...23 lalala. 2018-12-26 2612490 oscillator_cn1 2023-1-11 16:24
悬赏 [求助] SRAM部分区域无法写,可以读,请大神帮忙分析一下原因。 - [悬赏 100 信元资产] attach_img  ...23 vectorli 2020-6-8 268043 新嘴小王安子 2020-7-14 16:14
[原创] VCS VERDI SCL安装及破解过程小结 attach_img  ...23 Dittola 2021-4-4 269817 aa511950071 2024-1-25 11:33
[讨论] UVM出来了,整合了OVM和VMM  ...23 weicai13 2010-5-21 2521957 yqnie 2014-2-3 13:26
[求助] 做验证的大牛  ...23 taotaogou 2010-7-21 257615 taotaogou 2011-7-23 04:53
[求助] 怎样进入IC验证这一领域  ...23 snowman3852 2011-6-26 2522255 goxdl 2015-10-8 15:04
[求助] 请教一下VCS启动问题。。快纠结死我了。。  ...23 duhanyu0516 2012-4-1 2518761 rango_1 2021-3-18 14:45
[求助] VCS后仿$setuphold提示  ...23 loveheihei 2012-6-20 2631690 胡了 2022-6-22 17:53
[求助] 用modelsim跑 UVM1.1的一个例子 出错,求解答 attachment  ...23 hedayyt 2013-12-4 2516378 wolf46 2021-6-14 23:55
[调查] 求教验证老人们,各位与设计工程师的发展轨迹比较  ...23 Rinoa 2016-3-3 2512692 trancongha 2019-2-26 17:50
[讨论] 如何用UVM搭建最简单的验证环境?  ...23 iNostory 2017-12-9 2514362 踏雪ing的无人机 2020-4-15 15:51
[求助] 本科双非自学验证请问前辈们学到什么程度能面试or工作  ...23 河畔柳树 2019-7-31 256980 orientview 2023-2-3 08:20
[原创] UVM和SYSTEMC混合仿真之UVMC组件本地调试记录 attach_img  ...23 飞翔的马甲 2021-8-20 258369 涂满 2023-5-29 17:24
[求助] 异步复位时,复位值变动的时候,仿真结果与预期不符。 attach_img  ...23 桅杆 2021-12-21 256560 lhy624689564 2022-2-15 10:21
使用specman e的公司  ...23 yinmingxue 2009-11-4 247508 see01995 2013-9-18 14:12
[原创] 安装的SYNOPSYS VCS DVE启动不了!求助  ...23 armor 2010-4-24 2412335 ra3d 2014-8-9 13:54
[求助] 可不可用tsmc工艺库 综合已经设计好的电路 attachment  ...23 wrient 2010-5-14 2412560 songself 2022-3-2 16:02
[讨论] 高手进,芯片ESD电路问题!? attachment  ...23 hehejiuaixiao 2010-7-13 249832 3011204070 2015-5-7 18:16
[原创] formality中遇到unmatch的问题,请高手指点下  ...23 小小xxl 2010-7-17 2420534 Ayala058 2023-4-23 10:54
[求助] 有人最近换工作吗,好机会,深圳海思大量招聘,工作经验无特别要求  ...23 kgbs336 2010-11-20 248771 nazhaahai 2012-11-25 21:04
[求助] 有没有用Questa10.0a跑UVM 1.0成功的?  ...23 cnzhang 2011-4-16 249224 kmlgdx2012 2013-8-18 10:45
[求助] UVM验证平台  ...23 bosome 2012-11-30 2412452 savin_123 2019-3-6 18:31
[求助] modelsim与debussy的联合设置  ...23 zxn 2013-5-16 2413330 winston7777 2018-11-9 13:44
[资料] verdi 2014 64bit安装文件  ...23 lxing_1988 2014-7-23 2410166 allen2014 2020-6-29 15:44
悬赏 [求助] lvs错误:No matching ".SUBCKT" statement for "xxx" at line 43459 in file "xxx.v.sp - [悬赏 200 信元资产] attach_img  ...23 郑伟 2021-11-10 247635 郑伟 2021-11-15 09:28
[求助] 求助,uvm报个错 [CFGDB/GET] attach_img  ...23 cjdd369 2021-11-19 244858 Dada666666 2023-6-16 18:56
[求助] UVM objection attach_img  ...23 许晴125 2022-1-20 245794 xue_tianli 2022-2-4 22:06
[求助] $fopen(fh, ...)在$fclose(fh)前不能将内容写到文件中去  ...23 xiuxing056 2023-3-9 243519 yuanpin318 2023-3-13 08:03
DESING CPU USING FPGA attachment  ...23 henry1981525 2007-12-4 235568 duandaodream 2011-3-27 13:00
[求助] 在QuestaSim中编译了OVM库在运行程序时为什么还报错?  ...23 nangua001 2010-7-20 239063 zhaozhong0629 2012-4-12 22:15
[求助] 关于Questasim + OVM attachment  ...23 nangua001 2010-8-12 2310301 zhangjunhbxf 2017-8-27 22:15
[原创] 我有一个简单的想法 digest agree  ...23 kongfu2010 2011-11-4 239380 yyunju 2012-1-29 02:05
[原创] 谈谈我对stimulus和checker的理解 digest  ...23 lshj98115 2012-2-13 2314840 徐常杭 2022-9-1 16:23
[讨论] UVM高手请进:请教关于SV的cast函数和UVM的clone函数  ...23 飞扬紫百合 2012-11-9 2318144 ListenCC 2021-9-15 15:06
[讨论] questasim 10.0a 编译文件后run的问题求助  ...23 stoneWe 2013-4-3 238695 不想起的猪 2017-1-23 16:04
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 02:58 , Processed in 0.030692 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块