在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: hedayyt

[求助] 用modelsim跑 UVM1.1的一个例子 出错,求解答

[复制链接]
发表于 2016-3-29 23:24:24 | 显示全部楼层
看看,有人回答么?
发表于 2016-4-19 21:02:45 | 显示全部楼层
ae07af4643b
发表于 2016-9-20 15:12:14 | 显示全部楼层
也出现这个问题,试了好久发现,在命令行中加+UVM_TESTNAME= my_case时,my_case与等号之间存在空格,应该是这个空格的原因导致my_case 没有被识别出来
发表于 2017-2-7 11:43:17 | 显示全部楼层
bucuo haode
发表于 2017-12-14 10:40:14 | 显示全部楼层
你好,你是怎么做到编译通过的,我编译时遇到很多问题,诸如宏定义未指定等,请问你是怎么解决的,谢谢!!!
发表于 2017-12-14 16:03:14 | 显示全部楼层
回复 8# xiangeryong333 你好,我也遇到这个问题,请问怎么解决
发表于 2019-2-2 16:46:29 | 显示全部楼层
回复 16# 逐末  
我也遇到这个问题,解决了没,分享一下
发表于 2019-2-2 16:47:07 | 显示全部楼层
回复 8# xiangeryong333
我也遇到这个问题,解决了没,分享一下
发表于 2019-8-1 08:57:27 | 显示全部楼层
我也碰到同样问题,楼主怎么解决的
发表于 2019-10-22 16:57:54 | 显示全部楼层


xiangeryong333 发表于 2014-9-2 10:23
我用irun跑的,编译没有问题,但是出现了个奇怪的问题,如下:
“UVM_WARNING @ 0: uvm_test_top.env  buil ...


遇到了相同的问题,请问怎么解决呢
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:42 , Processed in 0.028861 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表