在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: xiuxing056

[求助] $fopen(fh, ...)在$fclose(fh)前不能将内容写到文件中去

[复制链接]
 楼主| 发表于 2023-3-10 23:04:45 | 显示全部楼层


bt_zhao 发表于 2023-3-10 09:10
你说的是没有成功写入嘛


是的,里头是空的
 楼主| 发表于 2023-3-10 23:10:45 | 显示全部楼层


bt_zhao 发表于 2023-3-10 09:10
你说的是没有成功写入嘛


是的,里头是空的
 楼主| 发表于 2023-3-10 23:12:15 | 显示全部楼层


bt_zhao 发表于 2023-3-10 09:15
是不是可以试着打印一下句柄,看看文件是否被成功打开


可以确定文件时成功打开的,正常结束就能看到
发表于 2023-3-11 10:52:05 | 显示全部楼层


qsh123_123 发表于 2023-3-10 17:08
ChatGPT只是目前最受追捧的聊天机器人而已。。现阶段要作为AI助手属实言过其实了。。5年后或许它的某方面 ...


好好好,你是对的[手动拜拜]
 楼主| 发表于 2023-3-11 22:51:45 | 显示全部楼层


飞翔的马甲 发表于 2023-3-10 11:33
问:这种方法,能保证仿真在异常中断退出的情况下,文件被正确地写入到a.log里面吗?

答:


我的就是手动ctrl c,然后脚本中会去kill了这个任务,导致a.log中没有任何东西。如果只是在vcs运行的时候ctrl c,可能vcs还会去做一些扫尾的工作
发表于 2023-3-13 08:03:16 | 显示全部楼层
一般final_phase 可以吧。但非正常退出,就有可能掉点东西。非正常就太多可能了。看你怎么处理了。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 14:56 , Processed in 0.026603 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表