在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 9101|回复: 23

[求助] 在QuestaSim中编译了OVM库在运行程序时为什么还报错?

[复制链接]
发表于 2010-7-20 11:16:45 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在QuestaSim中编译了OVM库在运行程序时为什么还报错?在QuestaSim 6.3c中已经编译了ovm 2.0.1的库,在运行ovm库自带的例子的时候编译还不能通过,还会报错,报的错误是:Could not find the package (ovm_pkg).
near "=": syntax error, unexpected '=', expecting ';'
near "e": syntax error, unexpected "IDENTIFIER"

对应的代码内容为:import ovm_pkg::*;
ovm_event_pool ep=new("ep");
ovm_event_pool ep=new("ep");

也就是OVM库的内容,不知道怎么回事,就是不能编译,求各位高手帮忙
 楼主| 发表于 2010-7-20 11:55:13 | 显示全部楼层
有人在吗?求高手指点
发表于 2010-7-20 13:02:51 | 显示全部楼层
你需要把ovm库里面的源代码也要编译到你的工程里,你可以参考它的用户手册,有这些地说明,在脚本上要加入一些选项,同时也要包括这些头文件的插入。
 楼主| 发表于 2010-7-20 14:11:52 | 显示全部楼层
能说的详细一些吗?在QuestaSim中如何进行设置?我还没有找到相应的设置方法,还有,大侠是不是提问过关于OVM中文版的资料啊?你那里有吗?方便共享一下吗?看英文的资料看的头都大了,谢谢啦!

3# gaurson
 楼主| 发表于 2010-7-20 17:11:05 | 显示全部楼层
还想请问你一个问题,就是每建一个工程都要重新编译一下OVM_pkg库吗?因为刚才我新建了一个工程之后编译又报错了,重新编译了一下ovm_pkg之后再编译就通过了。之前用Xilinx的库的时候编译完一次,以后就都能用了。如果每次建一个工程编译一次的话会比较麻烦啊。

3# gaurson
发表于 2010-7-21 12:44:11 | 显示全部楼层
中文资料确实不多,我这里也都是英文的,基本都是从这个论坛下载的。至于你说的类似xilinx元件库的那种方式,应该也是可以的,不过一般都是参考OVM提供的程序包里用脚本来进行编译仿真,所以那样做也节省不了多少时间,只要在脚本里面加上相关的路径即可。
 楼主| 发表于 2010-7-21 15:44:37 | 显示全部楼层
我现在编译带OVM库的文件通过了,但是在仿真运行的时候报错,错误为:
Error: D://sv_test/doulos/ovm_getting_started_1.sv(236): Vopt Compiler exiting
# Error loading design
大侠知道是什么回事吗?还有你刚刚学习OVM+ systemverilog的时候是怎么入门的,我现在看了一下systemverilog的语法书,然后看了一些OVM的基本说明的文章,然后再看利用OVM编写程序的例子,但是现在卡在QuestaSim编译带OVM库的例子上了,我现在对OVM的理解还不深入,而且存在好多疑问,有一个疑问就是OVM的功能这么强大,它能够提供很多基类,包括各种方法,函数和任务,我们怎么知道什么时候用什么啊?我现在对监视器,驱动器等等有了一个大概的概念,但是怎么去使用它们,它们又都有什么功能还不清楚,是要把它的Refence都看一下吗?要对OVM的理解要达到什么程度才能对手写程序啊?我想快速的入门,从例子入手,用sv +  OVM写一个比如发送tcp/ip 数据包到DUT的功能,然后之后再随着工程需要一步步深入去学习sv + OVM,现在是搞了好多天了,还没找到门路,请高手帮帮忙,先在此谢过啦

6# gaurson
发表于 2010-7-22 12:55:58 | 显示全部楼层
你这个感觉是仿真工具的设置问题,没遇到过。要快速入门,估计就要先从程序包里的例子入手了。userguide是肯定要好好看的,论坛上还有一本cookbook也可以看看,毕竟方法学的东西,理论性还是很强,如何应用不是一步能够到位的。
 楼主| 发表于 2010-7-22 16:39:31 | 显示全部楼层
恩,谢谢gaurson的耐心回答,已经连续好几天打扰你了,呵呵,出现这个问题会不会是QuestaSim版本的问题,我现在用得是QuestaSim6.3c,是不是版本比较低啊?在网上看到有人碰到一些编译或者运行时的问题,换了高版本的QuestaSim后问题就解决了。关于编译OVM库的问题在这两天的折腾下解决了,好像每次编译一个程序都要重新编译其要用到的OVM库,我现在是按照例子中的说明在进行操作,也就是用命令行中敲命令,通过执行do 文件地方法在编译和运行程序,可不可以用类似ModelSim中那样通过右键然后Simulate的方式来运行啊?应该也可以吧,我试过。但是,是不是命令行的方式更普遍啊?大家一般都用命令行的形式吗?
8# gaurson
发表于 2010-7-23 11:51:30 | 显示全部楼层
使用命令行的话,至少脚本支持,这样节省时间吧。看个人喜好来定。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 12:26 , Processed in 0.037935 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表