在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
123
返回列表 发新帖
楼主: 桅杆

[求助] 异步复位时,复位值变动的时候,仿真结果与预期不符。

[复制链接]
 楼主| 发表于 2022-1-29 10:38:42 | 显示全部楼层


janlesion 发表于 2022-1-29 10:21
没想到新版的工具这么强大,我以前编译都过不了,不过那时用的也不是正版的工具;之所以仿真与实际电路不符 ...


好的,谢谢指点!
发表于 2022-1-30 10:02:25 | 显示全部楼层
如果楼主不怕你们领导K你,你只要把你RTL改为
always @( posedge clk or negedge rst_n or b) begin
    if( !rst_n ) begin
        a <= b;
    end else begin
        a <= a + 1;
    end
就能得到你想要的仿真结果,不过这种RTL写法自己写着玩玩研究下语法还行,实际项目中还是要慎用,目前估计还不是所有的工具都支持,:)
 楼主| 发表于 2022-2-7 19:02:33 | 显示全部楼层


janlesion 发表于 2022-1-30 10:02
如果楼主不怕你们领导K你,你只要把你RTL改为
always @( posedge clk or negedge rst_n or b) begin
    if ...


好的,我也是觉得这种方式怪怪的所以来问问大家。以后还是采用标准写法规避不必要的风险。
发表于 2022-2-11 16:31:47 | 显示全部楼层
这种写法实际上没用的,你用spyglass一定给你violation.

ASIC的DFT rule要求所有的节点一定是有初始值。所以b在reset期间,不应该改动。
发表于 2022-2-12 23:33:30 | 显示全部楼层
不太懂,negedge rst_n,此进程trigger的条件,只会在rst_n negedge发生,所以你的例子,只会在0时刻x->0(negedge),把b的值给a
发表于 2022-2-15 10:21:55 | 显示全部楼层
没给时钟
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 11:14 , Processed in 0.021004 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表