在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10812|回复: 23

[求助] 关于Questasim + OVM

[复制链接]
发表于 2010-8-12 11:28:35 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问各位大侠,做验证的时候一般都用什么版本的Questasim 和什么版本的OVM,我用Questasim6.4 + OVM 2.0.1行吗?但是用这个去运行网站:
http://www.testbench.in/CM_11_PHASE_8_SCOREBOARD.html给的例子,会报错:
# QuestaSim qverilog 6.4 Compiler 2008.06 Jun 18 2008
# -- Compiling package ovm_pkg
# -- Compiling module switch
# -- Compiling interface mem_interface
# -- Compiling interface input_interface
# -- Compiling interface output_interface
# ** Error: Sequencer.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequencer.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequencer.sv(13): Error in class extension specification.
# ** Error: Sequencer.sv(20): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(11): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(11): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(11): Error in class extension specification.
# ** Error: Sequence.sv(14): super.new() call illegal for class with no super-class.
# ** Error: Sequence.sv(30): Illegal parameter specification for non-parameterized class type.
# ** Error: Sequence.sv(30): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Sequence.sv(30): Error in class extension specification.
# ** Error: Sequence.sv(33): super.new() call illegal for class with no super-class.
# ** Error: Driver.sv(13): Illegal parameter specification for non-parameterized class type.
# ** Error: Driver.sv(13): near "#": syntax error, unexpected '#', expecting ';'
# ** Error: Driver.sv(13): Error in class extension specification.
# ** Error: Driver.sv(25): super.new() call illegal for class with no super-class.
# ** Error: Environment.sv(32):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(33):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(36):  Failed to find name 'type_id' in specified scope
# ** Error: Environment.sv(40):  Failed to find name 'type_id' in specified scope
# C:/questasim_6.4/win32/qverilog failed.


我输入的编译命令是:
qverilog +incdir+ovm ovm/ovm_pkg.sv +incdir+. rtl.sv interface.sv top.sv -R +OVM_TESTNAME=test1

也是按照它给的例子的命令写的,其中我的库叫OVM,在所在工程的根目录下,已经编译了ovm_pkg。


其中,error对应的代码为:

class Sequencer extends ovm_sequencer #(Packet);


super.new(name, parent);

class Seq_device0_and_device1 extends ovm_sequence #(Packet);

super.new(name);

Drvr   = Driver::type_id::create("Drvr",this);
Seqncr = Sequencer::type_id::create("Seqncr",this);


Rcvr   = Receiver::type_id::create($psprintf("Rcvr%0d",i),this);

Sbd   = Scoreboard::type_id::create("Sbd",this);

  我看过OVM2.0.1的用户手册,那里面说的关于上面报错的语法都能上面语法中那么使用,但是不知道在这里为什么会报错,也就是说利用OVM2.0.1可以这么写:“class Sequencer extends ovm_sequencer #(Packet);”,但是在我这里就会出错,不知道为什么啊?是不是我的操作有问题?很多例子都是这样,在我这都不能运行了,老报错,这个问题困扰我好长时间了。

附件中的程序就是Phase8的程序代码。

各位能不能下载下来运行一下,帮我解答一下我的疑问,谢谢!

ovm_switch_8.tar

60 KB, 下载次数: 7 , 下载积分: 资产 -2 信元, 下载支出 2 信元

发表于 2010-8-12 12:52:09 | 显示全部楼层
看下$OVM_HOME/README-Questa.txt就知道什么工具能支持什么版本的ovm了。看你的error,应该是include整个代码结构时出现了问题。
发表于 2010-8-12 12:59:19 | 显示全部楼层
嗯,同意楼上的意见,最好看看你的top里面'include的顺序。
 楼主| 发表于 2010-8-12 13:02:35 | 显示全部楼层
README-Questa.txt里面说的是QuestaSim支持OVM2.0.1啊?
2# whxqq
 楼主| 发表于 2010-8-12 13:28:57 | 显示全部楼层
有OVM2.0.1的库吗?是不是我的库有问题啊?
我是在EETOP上下的啊,不知道为什么,这个问题困扰我好长时间了,都不知道怎么解决。

3# gaurson
 楼主| 发表于 2010-8-12 13:48:24 | 显示全部楼层
照说我运行的这个是人家网站给的例子啊,不应该有错误,人家在网页上还给了运行结果呢,不知道为什么到我这就出现问题了,各位大侠帮帮忙吧。不是很大的例子,对于各位高手来说,运行一遍就能知道其中的问题了,谢谢各位了。
 楼主| 发表于 2010-8-12 15:45:59 | 显示全部楼层
顶一下,期待各位的答复
 楼主| 发表于 2010-8-13 13:08:30 | 显示全部楼层
没人理我啊!!!!
7# nangua001
发表于 2011-6-21 14:16:28 | 显示全部楼层
Questasim 6.5b verification for SyetemVerilog & Systemc 2.2.0 is wonderful,  OVM takes the place of AVM and becoming a main lib.
发表于 2011-6-22 09:35:40 | 显示全部楼层
來看看~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-22 04:14 , Processed in 0.037339 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表