在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 13373|回复: 24

[求助] modelsim与debussy的联合设置

[复制链接]
发表于 2013-5-16 15:03:37 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x

我在用modelsim10.1a仿真时遇到问题:** Error: (vsim-PLI-3486)acc_fetch_defname(): The object_handle parameter is not a handle to a module,primitive, or architecture instance.    :src/tb/tb_nflash_ctrl.v(50),这里第50行的代码是$fsdbDumpvars;

第51行是$fsdbDumpfile("nfcwave_dma_write_read_buffer.fsdb");我自己的分析理解是:modelsim与debussy的联合设置有问题,但是自己按照网上的设置方法都试过了,把Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll拷贝到..\modeltech_6.5\win32下,取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。找到
; Veriuser = veriuser.sl”替换为“Veriuser = novas.dll”。可是结果就是不行,把modelsim和debussy都重新卸载装上还是不行,真是不知道怎么办了,求大神指教!!!

 楼主| 发表于 2013-5-16 15:04:46 | 显示全部楼层
求关注,求解答。。
发表于 2013-5-16 19:21:59 | 显示全部楼层
你这是linux版的吗?我之前装linux版的时候 也出现了这样的问题。原因在于modelsim的环境变量path有问题。你可以找找这方面的原因~或者你在你的项目文件中查看下 你调用的modelsin.ini是否正确。
 楼主| 发表于 2013-5-16 19:47:27 | 显示全部楼层
回复 3# kongliuer


   我用到是win7系统,modelsim是modelsim-win32-10.1a-se.debussy是debussy54v9,应该没有问题啊.另外我用的modelsimSE6.5g跑同样的工程就没有问题,设置方法就是上面说的 那样。
发表于 2013-5-19 16:20:03 | 显示全部楼层
.............................
发表于 2013-5-19 16:22:27 | 显示全部楼层
.............................
发表于 2013-5-21 22:10:37 | 显示全部楼层
如果有条件 感觉VCS确实好用
发表于 2013-6-25 18:52:33 | 显示全部楼层
不懂 搭车同求
发表于 2013-6-25 20:52:21 | 显示全部楼层
在仿真的时候加入参数-pli novas.dll的路径即可
 楼主| 发表于 2013-8-2 19:06:03 | 显示全部楼层
好像是代码函数的使用问题,不能写成$fsdbDumpvars;要写成$fsdbDumpvars(层次数,被测模块例化名);就好了。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 13:26 , Processed in 0.047073 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表