在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
【原创】ASIC/FPGA随笔 attachment  ...23456..8 brucezhan 2009-2-27 7816507 zpf2014 2014-9-19 10:15
[讨论] Windows下Verdi 2016、VCS等工具安装使用 - [阅读权限 10]attachment  ...2345 superpig2b 2017-5-21 411241 cwpeng 2022-10-28 17:15
[求助] 关于异步FIFO深度的计算  ...234 tjxgz 2013-3-19 3320216 hurrywork 2017-4-26 22:25
[讨论] FPGA工程师的核心竞争力在哪里?  ...234 jasonhzl 2014-5-28 328537 xiaopingtan 2014-7-10 09:18
[原创] 32位浮点加减乘除ALU单元 attachment  ...234 enjoylife2017 2015-9-14 3311619 Byte2020SOC 2021-3-24 09:30
[资料] TCL脚本学习资料 attachment  ...23456..8 yy00668 2016-9-10 7024938 最爱吃饺子 2022-3-24 14:31
[资料] SDRAM学习 attachment  ...2345 ezhou98 2010-4-22 428705 yesterdaymore 2015-7-8 15:27
[求助] 检测信号(非clk)上跳沿的问题,高手帮帮小弟吧!  ...234 zpc987 2010-11-6 338162 gyl1125 2011-7-21 23:34
[原创] 关于在VCS 中生成fsdb文件,也就是用verdi来调试的文件按  ...234 dlb05061131 2011-1-10 3514334 Holon_zhang 2015-11-16 14:30
[原创] FPGA中memory的应用——多通道共享缓存 agree  ...23 lucien_1986 2011-10-30 2817204 zhangbinsniper 2017-3-11 19:46
[求助] 关于DC综合脚本的修改 attachment  ...234 John狗咬耗子 2011-11-24 3110021 liumo163 2018-12-6 15:24
悬赏 [求助] Vivado的debug core怎么用? - [已解决] attach_img  ...2345 linghuqiubai 2015-5-27 4757615 Emmet_73 2023-12-5 21:45
[活动] 使用MATLAB和Simulink加快FPGA原型开发和验证网上中文研讨会,欢迎报名注册!  ...23 jackzhang 2011-8-14 2716048 eyeloveu 2011-8-25 21:41
[原创] 在Questa下面使用UVM (包括compile最新的uvm-1.1c) attachment  ...234 asic_service 2013-1-29 3115416 mailtoanoopbabu 2020-5-14 22:36
[讨论] 需不需要专门开个PCI/PCIE的帖子? attach_img  ...23456..7 shiyinjita 2013-8-5 6514315 colin354 2014-3-25 00:02
[资料] design compiler 入门详细步骤 attachment  ...23456..7 princecity 2016-9-10 6217963 michael6172 2022-1-16 01:55
[资料] SDRAM时序控制技术文档 attachment  ...2345 马玉奇 2016-11-24 4213308 knight197667 2022-1-23 11:43
[资料] 数字滤波器的MATLAB与FPGA实现Altera/Verilog版 attachment  ...23456..9 jlf521 2018-8-30 8026369 品博锦取_2021 2022-9-26 13:51
[资料] FPGA 和CPU哪个更有前途呢?  ...234 YoungZ1 2012-8-11 328273 wuqiuyu 2013-8-6 20:56
[原创] 新书《FPGA设计实战演练(高级技巧篇)》马上就要与读者见面了 attachment  ...234 coyoo 2015-5-13 3310866 zzlj560 2021-9-13 11:06
[求助] FPGA/CPLD设计无复位输入,内部寄存器初值是怎样的?  ...234 yl5495 2011-1-11 3012819 cxa 2018-12-1 11:36
modelsim除了看波形,还有什么功能?  ...234 7867 2003-8-30 328430 小CCC 2012-2-15 11:24
[活动] 【Zynq征文】ZedBoard入手体验--使用Zynq-7000的PS与PL进行交互例程 attachment  ...234 gaochy1126 2013-2-28 3612143 starliux 2019-8-6 13:46
[讨论] 做前端真的比较有前途吗?  ...234 追天鹅的青蛙 2010-12-1 3011258 kalote 2011-11-22 14:50
[讨论] quartus10.1的中文支持依然令人失望,谁有解决方案么?  ...23 bmytiger 2010-12-16 297489 weilvlun 2011-7-1 17:01
关于 XILINX Zynq 极客挑战赛 评审结果 digest  ...23 jackzhang 2014-9-23 257498 ahcene2035 2014-10-10 15:56
[原创] Xilinx ISE官方IP可以解密源码了 attach_img  ...2345 Student 2014-12-29 4826501 eastboy 2024-3-16 08:31
[活动] 赛灵思三重好礼,热辣来袭活动获奖名单公布  ...23 jackzhang 2015-8-6 205381 hfyfpga 2015-9-8 14:39
[资料] 关于DDR3的mig核的仿真和使用 attachment  ...234 wsz561208 2015-9-19 306827 cuijialang 2021-5-11 20:22
[资料] Synposys Design Compiler 基础教程 attachment  ...23 justfigo 2015-11-28 296674 dreamflyings 2017-3-6 21:48
[资料] 从算法设计到硬线逻辑实现 attachment  ...234 fpgawuhan 2016-1-15 317259 gxliu 2020-2-15 17:06
如何用VHDL或verilog HDL实现时钟的2倍频? attachment  ...23456..12 xzffff 2003-8-7 11165152 都市小虫 2020-9-25 21:29
[求助] FPGA的学习方向  ...2345 shiyinjita 2011-10-15 4212811 菜鸟要飞 2014-6-4 09:06
[求助] 数字集成电路物理设计这本书没有卖的了??  ...234 我擦泪 2012-11-9 3410428 blfa 2018-12-7 23:51
[原创] 面试官:仨月?我朋友能两天搞定!  ...234 小水小树 2016-12-30 318589 cattutu 2018-3-10 14:04
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 06:52 , Processed in 0.064988 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块