在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 15468|回复: 31

[原创] 在Questa下面使用UVM (包括compile最新的uvm-1.1c)

[复制链接]
发表于 2013-1-29 22:46:08 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
本帖最后由 asic_service 于 2013-1-30 09:45 编辑

如题.步骤:
1):下载UVM library: http://www.accellera.org/downloads/standards/uvm
2):安装Questa (省略)
3):下载 gcc-4.2.1-mingw32vc9。这个论坛上有,google也可以。
4): 运行questa,在command line 输入:

a): do compile_uvm.do;  ##compile UVm library
b): do run.do;   ## 运行hello_world 这个例子
两个do 文件在附件中。
UVM_usage_Questa.rar (1.19 KB, 下载次数: 525 )
发表于 2013-1-31 16:45:27 | 显示全部楼层
很好。
do文件可以使用。
谢谢。
发表于 2013-2-1 01:10:06 | 显示全部楼层
回复 1# asic_service


    终于理解库下脚本了,非常感谢。
 楼主| 发表于 2013-2-1 13:50:31 | 显示全部楼层
有人觉得有用,就算没有白写。
发表于 2013-3-2 17:04:33 | 显示全部楼层
楼主这个compile不错。解决了燃眉之急
发表于 2013-7-17 11:20:02 | 显示全部楼层
谢谢楼主分享do文件,试试如何用
发表于 2013-7-26 15:11:34 | 显示全部楼层
看看脚本。。。
发表于 2013-8-4 21:55:50 | 显示全部楼层
留名,我要用
发表于 2013-9-26 19:22:43 | 显示全部楼层
回复 1# asic_service


Questasim>   vlog -timescale "1ns/1ns" -mfcu -suppress 2181
\     +acc=rmb -writetoplevels questa.tops
\
     +incdir+${UVM_HOME}/src
\
     ${UVM_HOME}/src/uvm.sv +incdir+D:\questasim_10.1d\verilog_src\uvm-1.1c_new\examples\simple\hello_world\hello_world.sv
# QuestaSim vlog 10.1d Compiler 2012.11 Nov  1 2012
# -- Compiling package uvm_pkg
# ** Error: (vlog-7) Failed to open design unit file "erilog_srcuvm-1.1c_newexamplessimplehello_worldhello_world.sv" in read mode.
#
# No such file or directory. (errno = ENOENT)
# D:/questasim_10.1d/win32/vlog failed.
你好, 我把你脚本里的run复制到questasim里报了这个错误,请问这是什么原因呢?能具体分析下么
发表于 2013-9-27 16:11:01 | 显示全部楼层
可以下载下来研究一下,这些方法学不知道该学习哪个好了
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 03:15 , Processed in 0.064209 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表