在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: asic_service

[原创] 在Questa下面使用UVM (包括compile最新的uvm-1.1c)

[复制链接]
发表于 2013-10-18 21:36:52 | 显示全部楼层
研究一哈。。。
发表于 2013-11-26 17:41:18 | 显示全部楼层
3QQ!!!!
发表于 2014-3-17 07:31:34 | 显示全部楼层
试一下,谢谢楼主!
发表于 2014-3-17 07:48:32 | 显示全部楼层
楼主 gcc解压后应该放在什么地方?还有两个do文件是放在Questa安装目录下的example文件夹里么?
发表于 2014-4-8 05:37:10 | 显示全部楼层
OK,參考看看
发表于 2014-8-24 20:33:46 | 显示全部楼层
楼主这个compile不错。解决了燃眉之急 ,参考下。
发表于 2015-2-5 15:59:08 | 显示全部楼层
看看是否能用。。。
发表于 2015-7-13 22:26:51 | 显示全部楼层
thank you for sharing
发表于 2015-7-22 09:12:22 | 显示全部楼层
正需要这方面的资料
发表于 2015-9-22 11:18:36 | 显示全部楼层
试了试,挺好用
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 12:30 , Processed in 0.026234 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表