在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 求大神解答一下unexpected token的问题 attach_img y_小布 2016-10-31 31938 y_小布 2016-10-31 15:34
[求助] 什么原因导致设定的ibert速率不准确 li869725671 2016-10-31 01925 li869725671 2016-10-31 11:36
[求助] 综合报错,求大神解答 y_小布 2016-10-22 41533 y_小布 2016-10-31 11:05
对FALSE PATH的理解  ...23 wjx197733 2009-8-3 2614139 JeremyLee 2016-10-31 10:46
[求助] 请教下testbench调用底层模块内部信号 noronara 2016-10-28 12871 noronara 2016-10-31 09:44
[求助] XC3S50A VQG100封装形式在ISE9.2中找不到 macer_yang 2015-12-15 21581 缘份111011 2016-10-31 09:22
[求助] 双口RAM读写错误问题求助 uglyment 2014-12-3 55401 你大爷的春天 2016-10-30 12:06
[求助] 新手刚学习Modelsim,出现无法仿真,求大神告知如何解决 谢谢。 attach_img mh6520839 2016-10-29 31706 mh6520839 2016-10-30 11:35
[求助] cadence仿真器是如何计算IIP3的? attach_img 18253165470 2016-10-21 12297 fucka04 2016-10-29 17:46
[求助] 求助,请问我应该从哪方面破解? swpjlang 2016-10-29 11312 swpjlang 2016-10-29 17:45
[求助] 求助xilinx kintex70T map问题。 446218384 2016-10-29 02614 446218384 2016-10-29 10:28
[原创] 有谁知道UMC 28nm的Std Cell库的命名规则? killyou_6 2013-5-31 35054 gubels 2016-10-29 02:43
[求助] spi flash的仿真模型可以初始化数据吗? xiajinminyu 2016-8-26 22173 sprlove 2016-10-28 17:52
[求助] 请问如何利用好单口ram把它变成双口ram来使用?  ...2 jamesning 2013-8-22 179080 eggcar 2016-10-28 16:37
[求助] 请教怎么在FPGA下用HILBTER 实现正交检波? djqlyy 2016-10-28 01303 djqlyy 2016-10-28 16:26
[求助] 请问用IP核做的FIFO复位信号为何不管用? attach_img godjohsn 2013-12-11 94773 fascinate_lyd98 2016-10-28 15:52
[求助] Artix7实现sata host控制器问题  ...2 jxlannie 2016-10-24 134661 菜鸟要飞 2016-10-28 15:44
悬赏 [求助] FPGA Synopsys 网表 库 解析 - [悬赏 150 信元资产] lindehua 2016-10-28 01632 lindehua 2016-10-28 14:39
[求助] PLL仿真遇到的问题,请高手解答,谢谢(Too many port connections)  ...2 zghnxyzhw 2012-2-12 1011737 shaohaijun 2016-10-28 11:03
[求助] 毕设做基于FPGA的实时视频采集设计大概得用多长时间,对于一个不太熟练的人来说 Lynn3080 2014-11-2 82407 苏黎世地下铁 2016-10-28 09:44
[求助] FPGA进行STA分析,遇到问题 天怒法师 2016-10-25 41960 诠释幸福 2016-10-27 22:36
[求助] ALTERA MAX EPM7160ELC84用那个下载器下载程序 zztl1987 2016-10-27 01196 zztl1987 2016-10-27 16:35
[求助] Quartus II打不开文件 attach_img 编程好烦 2016-10-26 13122 sme-ic 2016-10-27 13:30
[求助] 有使用过ISE逻辑功能块锁定的人请进来指点一下 xvminjun 2011-12-22 23645 haonanshou 2016-10-27 11:05
[求助] 求0.35um数字工艺库 baozidepipi 2016-10-27 01643 baozidepipi 2016-10-27 10:33
[求助] 求推荐FPGA的学习方法 黄基基 2016-10-27 01101 黄基基 2016-10-27 09:58
[求助] 仿真的结果和综合不一样 0FFFFFFH 2016-10-26 22005 0FFFFFFH 2016-10-27 09:38
[原创] 请教synplify_premier 如何导出ncf文件 atlandis 2016-10-24 13043 atlandis 2016-10-27 09:00
悬赏 [求助] 基于FPGA的视频采集资料谁有啊,毕设要做可还一点都不会,谁能帮忙谢啦 - [悬赏 5 信元资产] Lynn3080 2014-11-1 92547 liu666666 2016-10-26 20:27
怎样生成一个综合约束文件.xcf xinyancode 2005-1-15 76747 fkl523 2016-10-26 16:52
[求助] 上电无法找到PCIE设备 3058957 2016-1-13 32933 cp南园 2016-10-26 16:44
[求助] 用modelsim做门级仿真时,怎么观察中间信号的变化 attach_img chen495277820 2016-10-26 01956 chen495277820 2016-10-26 16:43
[求助] 门控时钟和时钟时能的区别  ...2 挂在天边的鱼 2011-10-5 189448 minj 2016-10-26 16:09
[求助] 求推荐artix7或kintex7开发板 jxlannie 2016-10-26 02555 jxlannie 2016-10-26 15:25
[求助] QUARTUS 中为什么没有IO的时序分析 djqlyy 2016-10-26 01843 djqlyy 2016-10-26 14:38
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 23:55 , Processed in 0.071806 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块