在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
关于中断的一个小问题 xtmtd 2006-8-7 314977 lvshichunqiu 2006-12-29 14:07
[求助] DFT scan chain  ...23 otogyg 2012-3-12 2714977 otogyg 2021-12-15 13:49
美国IC设计公司招人  ...2345 RichardX 2005-7-5 4614883 tandyzhang 2010-4-28 12:50
关于DC综合的几个问题。望各位不吝指教! 东方之子yj 2008-3-31 714924 心灵失格 2014-8-4 20:57
[原创] MIPI D-PHY Spec attachment  ...2345 ytlin0 2014-2-27 4514849 fabr1023 2021-12-24 09:47
[资料] XILINX FPGA Verilog编程大全 新人帖 attachment  ...2345 nuerhachidetian 2019-9-10 4914879 MARKcz 2021-8-12 16:18
[求助] FPGA中使用modelsim的问题 attachment cafszyl 2011-3-29 314833 ICczw 2021-5-7 11:22
[求助] vivado中如何将bit文件转换成bin文件呢 IC.Michael 2019-2-13 614865 foxlx 2020-8-6 15:28
[求助] 关于VREF管脚的连接问题 attach_img zst221000 2010-6-21 814835 yangwg1980 2021-9-22 12:41
分享:在visual studio 2005下编译运行systemC attachment  ...23456..7 alexander.yin 2007-9-27 6614875 zwjin_87 2014-1-7 09:00
[求助] 各位好,刚开始接触fpga,用quartus哪个版本比较好!  ...23 qlxstar 2010-12-29 2214868 abszy 2011-10-16 15:45
[求助] 时序调整问题  ...23 johnnyluck 2011-12-1 2614839 zixuan1982 2011-12-31 13:44
请问实现“乒乓”操作必须要两块存储器吗?  ...23456 wasosam 2007-6-16 5814823 xinhaoqi 2016-6-29 15:10
[讨论] 经常看到电路规模用“几万门、十万门、百万门”描述,那么这个“门”到底指的是什么?  ...2 sdwsh1 2012-1-25 1014818 jdhome 2016-1-17 12:47
哪位大侠有用Verilog写的IIR滤波器的实例啊? attachment  ...234 lzndcb 2009-5-7 3114726 badegg3 2021-11-18 16:25
有关乘法器、加法器的问题  ...23 prguo 2004-3-19 2714707 xiaowuzxc 2023-4-1 13:14
[活动] 赛灵思诚邀您参加2010大型免费技术研讨会  ...23456..7 jackzhang 2010-11-9 6614735 ylzhao168 2010-12-3 11:07
[转贴] 跨时钟域处理--最终详尽版【转载加收藏】 attachment  ...2345 王钰 2020-12-18 4514727 爱飞的鸟 2024-4-16 20:09
业余,无聊时写了一个FIFO,vhdl的。 attachment  ...23456..8 nudtzy 2006-12-22 7514768 zsh0422 2015-4-24 13:43
[求助] 什么时候用组合逻辑?什么时候用时序逻辑?迷糊中 attach_img  ...2345 shiyinjita 2011-10-30 4014709 xiangchengsun 2017-7-11 16:54
[原创] AD9361使用概述! attach_img  ...2 yt920419 2016-7-11 1214697 jason_vip1 2024-3-27 13:21
北大Verilog教程  ...23456..7 wowo0128 2008-4-1 6414697 zhyeah 2009-9-8 19:49
[求助] DC和PT中的时序约束是同一个吗?  ...2 flyingsheep 2013-3-25 1814645 方山剑客 2024-4-24 11:51
[求助] VERDI 波形怎么显示字母.问题. attach_img  ...2 wdm518 2012-1-12 1614639 xjtudddwww 2024-4-11 10:48
关于VHDL for MPEG4  ...2 jhshao 2004-11-6 1014582 keen99 2006-6-15 07:30
[求助] verilog里面genvar, generate的问题 agree greenhope 2011-5-14 614663 kkkxiong 2015-6-29 16:28
moedelsim仿真波形内容为空? chibijia 2009-11-2 314647 zhyeah 2011-8-25 16:03
[求助] 请教大家怎样在modelsim仿真时输出如$monitor、$display的内容  ...2 dianzidaren1 2011-5-21 1314641 qasdf2010 2015-4-22 09:02
[活动] 如何选择复位方式 attach_img  ...23 huxiaokai2005 2012-6-25 2914608 gaeazzz 2019-11-10 23:16
[原创] Verilog HDl中使用case中嵌套case  ...2 fishinger1999 2013-5-22 1014634 yamadeemas 2015-3-27 16:57
[求助] 工程 vivado 建立时间,保持时间不满足,修改思路 attach_img  ...2 qiurijian 2018-1-23 1414601 hank_fan 2018-2-2 20:53
悬赏 [求助] verdi安装不成功 运行license出问题 - [悬赏 500 信元资产] attach_img  ...2 yuanhong96 2017-4-12 1314512 jiangwencheng 2023-7-30 13:35
[求助] 谁能介绍一下乒乓异步FIFO attachment  ...234 xiaocanmeng 2011-8-3 3714564 tchell 2017-7-11 18:21
[原创] FPGA的flash不能烧写  ...2 234764218 2011-4-13 1714504 baowenhit 2015-4-16 08:51
悬赏 [求助] 哪位大神指导下我 让我成功驱动这块芯片 在输出端打出时钟信号 - [悬赏 150 信元资产] attach_img  ...2345 pandapigwtj 2017-6-27 5014540 pandapigwtj 2017-7-13 11:45
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-6 01:45 , Processed in 0.066250 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块