在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (126) |订阅

后端讨论区 今日: 11 |主题: 20951|排名: 10 

[求助] PSE,OSE TCD cell XIDIANCAD2 2014-2-12 77416 hahaha~ 2024-4-9 17:33
悬赏 [求助] 数字网表中standard cell的SUBCKT定义的port顺序跟从版图中抽取的顺序不一样。 - [悬赏 100 信元资产] wangzhen6270 2024-4-9 5322 wangzhen6270 2024-4-9 17:32
[求助] DC综合时插入门控时钟需不需要制定具体是哪个时钟啊 ZHZIC 2020-10-20 62910 追驰Chasing 2024-4-9 16:24
[求助] stdcell的tlef与pdk不匹配是否有影响 Hiroshi 2024-4-9 0160 Hiroshi 2024-4-9 15:30
[求助] [k库请教] 关于K库,buffer的delay产生负值的问题  ...2 snakkewang 2017-10-1 168113 芯之所想 2024-4-9 10:10
[求助] 求助,SMIC 40nmll工艺库如何使用 attach_img  ...234 zeaprx 2018-3-20 3817017 jiangnaner 2024-4-8 18:24
[求助] 【已解决】t28库中用于pr的technology lef在哪里 wdmzjjdeb 2024-2-14 4589 Polaris、 2024-4-8 16:12
[求助] calibre extraction LVS SPICE 中ICV CELL 怎么去掉让它直接提到管子级别 marsedes 2013-3-1 63443 lndxeb 2024-4-8 14:59
[求助] IC617安装完成后不显示design window窗口名 新人帖 attach_img ywang1999 2023-8-15 3522 都懵 2024-4-8 11:42
[求助] 综合 | UPF问题 海狸先生0119 2023-4-4 91519 710466312 2024-4-8 10:47
[求助] AOCV Depth 如何计算 Lover_Momo 2024-4-7 2320 莫名晴天 2024-4-8 09:35
[求助] IR drop Signoff 要求 liyong_ic 2018-1-17 22286 JasInShell 2024-4-8 00:23
[求助] PT中设置link path[已解决]  ...2 是天空 2023-8-23 11995 JasInShell 2024-4-7 23:52
[求助] missing connection问题 LuckyEDAZ 2024-4-7 0259 LuckyEDAZ 2024-4-7 21:24
[求助] 菜鸟来问:Memory Compiler的问题 attach_img  ...23 oscillator_cn1 2010-9-15 2217616 b50714006 2024-4-7 20:08
[求助] legalize 问题 attach_img IRIS_1 2024-4-7 0262 IRIS_1 2024-4-7 18:54
[求助] 静态时序分析没问题,后仿setuphold违例,求助...急... attach_img  ...23 cfh123 2019-5-24 2210347 rhythm2 2024-4-7 15:49
[求助] Cadence中怎样从Schematic电路/Layout版图导出Verilog网表?  ...23 Alicezw 2013-3-1 2721453 熬夜退役选手 2024-4-7 14:24
[求助] 标准单元表征问题-Liberate attach_img  ...2 亭ting 2018-12-2 177599 cxyes 2024-4-7 09:41
悬赏 [求助] smic 7nm的DRC问题 - [悬赏 210 信元资产] attach_img suxixi 2024-4-3 1513 andyfan 2024-4-5 07:38
[求助] tsmc18的数字库,lvs时显示原理图和版图尺寸不对应 新人帖 qwf_112358 2024-4-1 6294 fur_sky 2024-4-4 20:28
[求助] OCC inset DFT 插入的clcok controller端口全部悬空,怎样解决 attach_img shallsure 2014-7-31 62861 2484105895 2024-4-4 13:30
[求助] set_clock_gating_check中的 setup和hold值如何设置? attach_img xiaocat85 2015-6-9 99655 ricvadim 2024-4-4 07:55
[求助] 求大神解疑,请问csm35是哪家Foundary的库文件呢? jackwei1987 2015-1-28 63126 以前是以前 2024-4-4 02:55
[求助] smic65nm工艺库的问题请教  ...2 daisyniu 2011-12-27 108407 cmmjava 2024-4-4 02:54
[求助] 不同版本innovus添加filler的问题 Tumbler.li 2024-4-3 3289 jiangrui666 2024-4-3 22:05
[求助] 感觉标准单元不对,大神帮忙看看 attachment  ...23 西边的鸵鸟 2015-5-12 2811241 菜菜CC 2024-4-3 18:30
[求助] DFT insert的时候出现unmmaped cell的问题  ...2 kookeey 2016-1-11 107874 renlai疯 2024-4-3 14:39
[求助] StarRC使用上的问题求助 新人帖 attach_img MieDesu 2024-3-29 4351 huqy 2024-4-2 16:26
[求助] 关于power grid view几种类型的问题 TomPaul 2011-11-18 53646 icfbicfb 2024-4-2 14:54
[求助] innovus的max_transition drv问题 rauljin 2024-4-1 2320 大龄文艺男青年 2024-4-2 14:17
[求助] DC不明缘由自动退出 fatal error  ...23 longxigen 2012-3-15 2617954 yanvv 2024-4-1 16:40
[求助] 怎么使用skipper p2p计算电阻? 墨默 2023-10-23 6646 不想加班对不队 2024-4-1 16:28
[求助] Liberate的user guide 亭ting 2018-10-23 74233 jeannyhuang 2024-4-1 16:20
[求助] 为什么innovus的Timing和PT的Timing会有差别  ...2 imossyf 2021-3-11 134963 marvin7746 2024-4-1 15:46
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-14 19:08 , Processed in 0.023782 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块