在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (130) |订阅

后端讨论区 今日: 0|主题: 21024|排名: 9 

关于standard cell的一些问题,想请教有经验的牛牛  ...23456 ftxhh 2006-9-5 5622924 hadeheng 2021-1-29 12:05
[原创] 后端面试--每日一题(020)  ...23 陈涛 2011-6-5 2214784 愤斗的香蕉 2022-4-14 16:00
[求助] icc请教问题 attach_img  ...23 kobefly225 2011-11-29 2717549 xueyou9469 2020-3-20 16:49
[原创] 一件人生大事落定!感谢坛子里的朋友们!  ...23 joemool 2011-12-20 267303 zlhx0000 2012-1-3 14:58
[求助] 我想问一下CLOCK SKEW的问题  ...23 djim2002 2012-8-4 2213308 jasmine_xiaoliu 2024-4-22 09:31
[原创] SOC encounter不能load进LEF文件【已解决】  ...23 yujinxiang_lay 2012-9-12 2812634 liql1234 2024-2-29 14:42
[解决] fanout和area违例问题  ...234 cxj2010 2012-10-10 306986 supermachao 2013-5-14 21:58
[求助] LEF文件  ...23 XIDIANCAD2 2012-11-12 2338178 wangli_peking 2023-2-13 15:26
[求助] 后端设计创新  ...23 XIDIANCAD2 2013-1-29 2111522 sunhongwei 2021-11-26 16:03
[求助] 請教abstract 如何抽 antenna lef  ...23 QQEDA 2013-3-15 2214947 guner415 2022-7-13 11:01
[讨论] 发现做数字后端的去面试layout会被鄙视  ...23 龙溪小泮 2013-6-4 2010353 LiuJiangtao 2016-3-13 11:17
[求助] IC61 窗口弹出显示在最底层  ...23 emerald1103 2015-4-28 209710 lulu_CAD 2023-11-3 11:11
悬赏 [求助] 求ICC2_HDP的lab guide - [悬赏 2000 信元资产]  ...23 strivenbu 2011-8-7 2211271 ss3035 2020-8-5 18:24
[求助] .cshrc设置问题  ...23 cgy_312 2011-12-26 2526169 ralphtwtw 2018-6-29 15:20
[求助] Liberty NCX生成lib  ...23 mldxtj 2012-6-28 2714067 Lotusy 2020-12-30 10:14
[求助] 时序分析的wcl、wc、lt、tc、ml等是什么含义?[已解决]  ...23 wjchuan 2012-7-4 2943092 zyh10.12 2023-7-11 16:01
[讨论] 请问苏州瑞晟微电子和上海澜起科技做IC后端去哪家比较好呢?  ...23 hai6162006 2012-11-5 2113358 kingflames 2013-1-4 16:11
[求助] cworst and rcworst区别  ...23 yangics 2011-3-14 2423496 拾西 2021-11-26 17:13
[原创] power 的EM 问题  ...23 以后会怎样 2011-6-15 2817443 lingf91 2022-9-28 16:06
[求助] encounter怎么利用pt的fix_eco_timing?  ...23 zzczx 2012-6-19 2414356 blfa 2019-12-10 14:45
[求助] DC中SRAM端口的setup time违例  ...23 gengweigg 2012-7-16 2011163 晴川 2017-12-26 23:04
[求助] 关于ICC中MCMM的operating_condition问题?【已解决】 attach_img  ...23 X6J6P6 2012-9-12 2515419 suncloudmoon 2020-9-17 07:00
[求助] gds转milkyway attachment  ...234 cxj2010 2012-10-15 3513828 t5234265 2023-5-26 14:18
[求助] 求教各位icc中create mw lib的问题[已解决] attach_img  ...23 Simon0827 2013-1-24 2914157 lxm001 2022-3-3 20:47
[资料] EDI 14.1 command file (命令手册) attachment  ...23 bigbengua 2014-10-20 216495 我力可 2020-4-11 22:27
[求助] ICC学习 除了user guide和workshop有没有其它中文版资料  ...23 yi4105635 2015-10-27 248899 chenwenhaoee 2021-4-14 16:17
请教关于milkyway库的生成  ...23 handucheng 2009-10-26 2713698 prada520 2018-3-16 10:12
[求助] 求助max_fanout,fanout_load,set_max_fanout,set_fanout_load的关系  ...23 zczc999 2010-3-22 2115298 Kiss_Rose 2018-3-9 14:57
[讨论] 做时钟树平衡的目的是什么  ...23 chengroc 2011-7-29 2013454 stupidly 2022-10-25 10:02
[求助] calibre在做LVS的时候,power net找不到,然后就abort operation了  ...234 sages 2011-8-29 3540768 noname丶 2023-12-29 13:59
[讨论] 我对后端的看法  ...23 tiger_lein 2011-12-8 218783 enjoy545352 2012-2-7 11:12
[求助] encounter 10.1(EDI 10.1)在design import的时候,找不到load Timing library的地方啊  ...23 lvguang 2012-5-15 237793 Lover_Momo 2015-3-24 17:51
悬赏 [原创] DFTCompiler与DFTAdvisor区别? - [悬赏 80 信元资产]  ...23 shengyepeng10 2012-9-4 2211124 sunilrirt 2017-3-7 13:55
[原创] 请教下set_driving_cell 和set_input_transition的区别 attach_img  ...23 tntdog 2012-10-19 2317877 greatao 2021-1-15 10:31
[求助] ic compiler 2012.06 start_gui问题 attach_img  ...23 songxiayiqi 2013-9-26 227200 kimluanpklu 2017-4-1 09:40
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-2 00:52 , Processed in 0.049154 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块