在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[讨论] 组合逻辑与复位  ...2 hhc789 2015-6-2 126915 hhc789 2015-6-13 11:35
[讨论] 为什么要用状态机,或者说什么情况下非用状态机不可!  ...2 rainwerstone 2017-1-11 124089 rainwerstone 2017-1-16 10:31
[讨论] clock gating的方法  ...2 河源皮 2017-5-1 124327 daissy 2017-6-20 15:55
[讨论] 异步复位同步释放 attach_img  ...2 mysoul 2017-12-18 126649 innovation 2021-9-6 01:35
[讨论] ic设计公司一般是用什么服务器,环境怎么搭建的  ...2 lalala. 2019-1-11 127203 SlaterYoung 2021-12-24 15:52
[讨论] DC综合时,如果DFF输入端是固定值,这个DFF会被优化掉吗?  ...2 Jesin 2020-2-19 124064 Jesin 2020-3-10 07:52
[讨论] 单端口 sram 实现fifo  ...2 maws 2023-1-13 122249 dliu61 2023-1-18 14:09
[讨论] 问个问题,1个clock和两个经过PLL产生的clock  ...2 scutlee 2024-4-11 12342 xhopo 2024-4-15 16:32
[讨论] 大家来看看,我这样的能找得到IC设计类的工作吗  ...2 pontiff 2010-6-24 114739 dolphinlater 2010-7-6 22:52
[讨论] 异步fifo设计中使用格雷码方案时时序约束问题  ...2 zhanghi 2010-11-15 119511 silencefpga 2016-4-3 12:59
[讨论] 10万火急!ISE综合后的仿真!  ...2 huanguestc002 2011-4-28 119980 liyu266 2020-9-1 16:54
[讨论] 关于set_drive+set_load和set_input_transition的关系问题讨论  ...2 wangxuede220 2011-6-13 1111391 河源皮 2017-10-15 22:13
[讨论] 如何用synplify综合ALTERA IP attachment  ...2 welliu 2011-8-4 117076 welliu 2011-8-6 11:58
[讨论] modelsim仿真时出现了一个莫名的错误  ...2 xiangeryong333 2011-8-10 117451 bolilili 2020-11-26 23:23
[讨论] 问个敏感信号的问题  ...2 huanguestc002 2011-8-16 116027 Albertzmh 2012-7-28 14:58
[讨论] ASIC设计,选择通信还是视频编码解码?哪一个更有前途,大家讨论一下  ...2 teleixi 2011-9-22 115171 lilyzhong 2014-10-18 10:16
[讨论] 实际开发中需要手动改动FPGA 布局布线吗  ...2 john3851 2011-10-8 116970 sweet1200 2011-10-17 22:06
[讨论] 请问大家做的设计,有没有没有复位的寄存器?  ...2 ohgreat 2011-10-31 115494 elone 2011-11-10 17:03
[讨论] verilog仿真时钟采集数据 attach_img  ...2 dzkxybx 2011-11-3 115363 jackertja 2011-11-4 17:33
[讨论] 传统huffman编码的verilog(VHDL)实现!  ...2 txd208 2012-2-14 1110244 ranqi 2019-2-11 15:21
[讨论] 关于时钟分频的问题  ...2 上善若水8888 2012-3-1 117997 上善若水8888 2012-7-11 09:55
[讨论] Modelsim 与XILINX ISE 的Isim那个仿真工具更好?  ...2 乐烁橙 2012-3-3 116738 wmlsia 2015-8-10 22:20
[讨论] 请教一个关于windows下用modelsim仿SystemVerilog的验证环境问题 attachment  ...2 puma0329 2012-5-12 115868 chenbeihp 2015-10-17 20:45
[讨论] 有谁搞过或者正在搞FPGA来设计CF控制的 attachment  ...2 ckzuan 2012-5-17 113972 guokehuanyu 2012-9-16 23:37
[讨论] Spartan 3E中的BUFGMUX问题  ...2 asyou 2012-7-4 116593 asyou 2012-7-9 08:53
[讨论] 系统工程师之路如何走?  ...2 zongkai2003 2012-8-4 114385 zongkai2003 2012-8-10 11:42
[讨论] Vivado的性能  ...2 zzyin 2012-10-24 1113513 zhanyan110 2015-7-1 16:08
[讨论] FPGA中的RAM和ROM attachment  ...2 wangkang00288 2013-6-25 118970 edwardk 2018-12-19 21:57
[讨论] 串并转换写成移位寄存器还是寻址寄存器比较好?  ...2 cqugjw 2013-6-28 116445 fengbohan1 2022-7-21 15:03
[讨论] 不要求速度只要求面积,能不能实现乘法器的分时复用?  ...2 backwars 2013-8-29 115140 chen851112 2013-9-2 08:01
[讨论] Xilinx 的FPGA三个系列的异同点  ...2 nanfeng2808 2013-9-1 114164 chen851112 2013-9-4 06:59
[讨论] Cadence RTL Compiler有类似Synopsys Designware的IP库吗  ...2 undead11 2013-9-2 116036 thomask 2019-7-28 08:51
[讨论] 用FPGA做一个核的功能验证,他的难点在哪里  ...2 白兰地 2013-9-27 113083 tiangua 2013-10-5 21:40
[讨论] 用高频时钟检测低频时钟的上升沿,用两个D触发器还是一个D触发器?  ...2 zylxzxcyz 2014-12-17 113343 yaya126 2015-1-8 12:13
[讨论] 如何去学习FPGA  ...2 小金豆 2014-12-19 113193 小金豆 2014-12-22 11:55
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-29 02:17 , Processed in 0.022398 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块