在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 最全Synopsys DC综合流程教程附DC综合脚本 attach_img  ...23456..9 1581689220 2020-2-26 8721839 奇怪的猴子 4 天前
[求助] 用Verilog如何实现一个边沿启动计数器模块 attach_img  ...23 bh3715 2010-11-30 2612941 rosshardware 2018-6-26 11:48
[活动] XILINX LX9开发板免费试用第二轮名单公布  ...23 jackzhang 2011-8-30 246749 扎西 2011-11-30 13:00
[求助] DC 综合后的门级网表为什么通不过仿真  ...23 孤月飞星 2012-4-10 269980 shishenren 2018-4-13 22:51
[求助] 跨时钟域,建立时间的问题  ...23 半岁man 2012-10-23 287344 wang09123 2014-4-30 10:10
悬赏 [资料] 求电子书【ModelSim电子系统分析及仿真】 - [已解决]  ...23 xcrabx77 2012-11-26 2419780 yuanpin318 2018-11-22 21:32
[原创] 关于硬件木马的国外参考书 attachment  ...23 feixiangdream 2014-4-2 266079 dreamfly123123 2018-3-28 15:14
[求助] 求教大神们,FPGA 串并转换 ISERDES的问题  ...234 320070921971 2015-4-17 399009 S900827 2017-2-9 21:46
[资料] The Verilog Hardware Description Language, 5th Ed attachment  ...23 hitjinlong 2015-11-18 266852 jw216 2021-8-2 23:58
[求助] 什么时候用组合逻辑?什么时候用时序逻辑?迷糊中 attach_img  ...2345 shiyinjita 2011-10-30 4014805 xiangchengsun 2017-7-11 16:54
投票 [调查] 看看国内CPU研发行业的情况  ...23 yp19890718 2013-1-22 279722 honglin23 2013-2-20 18:20
[求助] 三十岁了学习fpga还来得急吗  ...23 wxyj789800 2011-10-24 247607 buley 2011-11-22 23:34
[原创] XILINX GTX/GTP 使用小结  ...23 lucien_1986 2012-3-8 2314433 qiurijian 2017-9-4 19:40
[求助] 怎样快速看懂一个较大的verilog模块代码  ...23 fjmwu 2012-8-20 2311706 兵熊熊一窝 2021-3-8 17:59
[求助] 什么样的时钟应该被认为是两个不同的时钟域?  ...23 fanny_haiyun 2014-8-25 256491 glace12123 2018-3-1 18:27
有人使用过leon3吗? attachment  ...23 kero 2009-3-4 238552 怎么个景 2017-6-2 14:28
[求助] 各位好,刚开始接触fpga,用quartus哪个版本比较好!  ...23 qlxstar 2010-12-29 2214912 abszy 2011-10-16 15:45
[讨论] 讨论一下这条verilog语句!高手请进!!!  ...23 chen.terry 2012-2-25 298012 chen.terry 2012-3-17 21:29
[求助] 请问有没有FPGA速成的(能找到工作就成),剩几个月就要毕业找工作了,求前辈们指条路  ...23 xinglei1215 2012-5-30 226618 Masterwk 2013-6-30 16:59
[求助] 关于奇数分频电路的毛刺问题  ...23 songzijian87 2012-10-8 2613257 rvisk 2021-3-5 17:54
[求助] xilix DDR3 IP 求助 attach_img  ...234 zsy5460 2014-7-31 309919 fightant 2015-10-21 20:55
投票 [统计] 大家用FPGA哪家多些? agree  ...23 固执的寻觅 2018-4-19 2911987 aibbt 2020-10-19 14:24
[讨论] 今年是不是行情不好哦。。。  ...23 windflood 2012-6-4 215734 mingbaibu 2012-6-29 22:35
[求助] fpga控制sata 接口硬盘进行数据存取 Gbps  ...23 dkjhl623 2012-8-15 2313182 zombes 2016-6-5 13:23
[求助] 关于滤波器的设计书籍推荐 attachment  ...23 YoungZ1 2012-10-19 2620286 szdgsz 2021-4-28 19:35
[求助] 新人求教,天天老搞PCIe、RapidIO这些接口,是不是没意思?  ...23 glace12123 2014-6-22 2210097 taowei 2019-1-31 17:50
[求助] 为什么级联两个寄存器就能避免亚稳态,还有这样做会导致数据传输错误吗? attachment  ...23 zhuyuefeng2009 2014-12-17 267502 高瞻forever 2017-5-17 16:58
[资料] DDR phy接口协议 attachment  ...23456..7 blackman00000 2017-1-9 6016263 逗逗爱学习 2022-12-20 16:12
[讨论] 为什么此版缺少高质量的贴  ...23 yangyuf1 2010-12-10 236016 down_load 2011-1-25 19:09
[求助] 如何用verilog求以2为底的对数  ...23 licong0601 2011-1-24 2217604 edwardk 2018-11-28 14:04
[求助] 自己用Xilinx FPGA做SATA IP的疑问??!  ...23 lzl19860420 2011-11-25 2313890 yuanwolf2008 2023-3-17 13:25
[求助] PC与FPGA通信问题  ...234 绿茶盖儿 2013-5-8 319983 小明d 2019-5-26 16:59
[资料] Quartus9.1软件的安装包和破解包 attachment  ...23 9065574912 2013-5-18 2314248 vinocherish 2017-10-13 20:41
DDR DQS信号的用途讨论 attach_img  ...23456..9 jamyce 2004-7-30 8942937 flyhouse112 2015-12-30 23:38
[讨论] 用异步reset的理由 attachment  ...2 forestimber 2010-10-28 167170 acushia 2018-1-26 10:30
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 09:48 , Processed in 0.065924 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块