在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
楼主: zsy5460

[求助] xilix DDR3 IP 求助

[复制链接]
发表于 2015-9-25 08:53:43 | 显示全部楼层
回复 20# lovingxiuxiu

DDR2没有研究过,不过理论上,用户不应该修改初始化代码,等初始化结束后才能自己控制读写吧
发表于 2015-9-25 16:02:55 | 显示全部楼层
回复 21# linghuqiubai
并没有修改初始化代码,ISE可以生产DDR2控制器和DDR2模型以及仿真文件(读写地址和读写数据的产生),目前想通过app_*信号自己给输入,可是phy_init_done信号一直不拉高,即DDR2初始化不成功,用户无法进行读写数据和地址的输入。但是同样的模型和控制器,在ISE自己生成的测试文件下就能正确初始化和读写。phy_init_done信号是如何产生的?需要用户给什么输入信号吗?已经纠结好长时间了
发表于 2015-9-28 09:04:05 | 显示全部楼层
回复 22# lovingxiuxiu

你自己写的测试文件,仿真时加DDR2的模型了没?自己写的话就是把顶层中的traffic_generator模块替换掉,其它的不应该变,仿真的话仍然要采用它那个model文件
发表于 2015-9-29 20:46:51 | 显示全部楼层
先用example跑。不要改任何东西。开始初始化时间挺长,100ms吧
发表于 2015-10-15 15:33:50 | 显示全部楼层
回复 23# linghuqiubai


    请问答主是否方便留个qq,我正在做关于DDR读写的项目,看了很多资料还是不太清楚,能否详细咨询下你?ps:主要问题是,现有两个数据获取和ddr测试的工程,试图合并成一个工程实现获取的数据写入ddr中。
发表于 2015-10-15 17:48:19 | 显示全部楼层
回复 25# fightant

呃,不是太明白你的需求。如果是和DDR3协议相关的问题,我还能回答一下,如果是MIG核之类的,我其实用的也不多……
发表于 2015-10-16 10:50:35 | 显示全部楼层
回复 26# linghuqiubai


  我手头上只有ddr。。不过应该可以从ddr3反推回去。主要的疑问是我不知道要实现将fpga片上block ram 的数据存到ddr中,需要怎么操作?我的理解是用mig生成一个ddr control 然后将fpga上的数据输出与ddr中的数据输入相连。。这是硬件层次的,那么软件层次的话,因为是嵌入式开发,有用到microblaze软核,是否需要在sdk中编写程序之类。。由于不太清楚此流程导致走了很多弯路,到现在思路都很分散。。
发表于 2015-10-16 14:30:57 | 显示全部楼层
回复 27# fightant

软件我不怎么懂,microblaze也没用过。硬件实现的话,我感觉你可以例化一个MIG,然后自己改写traffic_gen部分,也就是从BRAM读出数据,然后写入DRAM?
发表于 2015-10-16 19:31:05 | 显示全部楼层
回复 28# linghuqiubai


   请问改写traffic_gen,是删掉top文件里的traffic_gen部分,自己写代码控制app_信号,还是修改traffic_gen里面的文件,这个traffic_gen看不懂啊
发表于 2015-10-19 08:52:59 | 显示全部楼层
回复 29# kjkjjn

自己写,把它替换掉即可。你可以找找MIG的手册,看看到底怎么用。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-20 14:08 , Processed in 0.036689 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表