在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[原创] 针对sdf中timing check某些参数为负值及后仿时报vsim-3819 warning的分析 attachment oceanwangchao 2021-3-2 73027 leb925 2022-7-23 23:43
[资料] 数字IC设计开发画时序图的好工具 attachment  ...2 艾艾小阳 2022-6-4 122837 leb925 2022-7-23 22:55
[求助] 怎么学习matlab代码来实现pipeline adc的行为建模 Aeternum 2022-7-22 01173 Aeternum 2022-7-22 20:22
[求助] 求助!!关于DC中时序约束SDC的疑问 attach_img 三岁就十分拽 2022-7-11 61570 三岁就十分拽 2022-7-22 16:52
[原创] PCIE 软核,打破Xilinx 硬PCIE不足限制  ...2 quenii 2022-5-9 133307 feixuemeng 2022-7-22 14:27
[资料] 明德扬基于XILINX K7核心板325T/410T attach_img chop147 2022-7-22 01370 chop147 2022-7-22 10:46
[资料] fifo资料 attachment lancerone 2022-7-6 61549 lans0625 2022-7-22 09:07
悬赏 [求助] 请教如何在modelsim里如何进行例化模块内的inout信号的仿真? - [已解决] 磁轨炮 2022-7-7 71345 jfeta 2022-7-22 06:12
[资料] FPGA高级时序约束 attachment  ...23 wangheping123 2019-2-9 247240 jfeta 2022-7-22 05:51
悬赏 [求助] 是否有工具支持将可综合systemverilog直接转为Verilog? - [悬赏 100 信元资产] hellcer 2022-7-21 51754 轩辕志瑜 2022-7-22 01:54
[讨论] 问问大家否怎么使用time designer的?感觉用timegen很方便 cgssuccess 2013-9-21 911188 Topiler 2022-7-21 19:39
[求助] 请问ISE综合以后,看资源占用,主要是查看综合报告哪一项? ttxs2009 2014-8-28 417870 fengbohan1 2022-7-21 15:57
[讨论] 串并转换写成移位寄存器还是寻址寄存器比较好?  ...2 cqugjw 2013-6-28 116427 fengbohan1 2022-7-21 15:03
[求助] 请教一个FPGA读写DDR2的问题 djqlyy2922 2022-7-21 11073 jinj198908 2022-7-21 13:09
[原创] cdc 新人帖 attachment kevin.yang0922 2021-6-9 31897 jfeta 2022-7-21 06:19
请教一个跨时钟域的后仿问题 attachment  ...23456..8 vlog 2006-11-14 7427444 qq787766401 2022-7-20 11:52
[求助] soc 小光头请多指教 2022-7-19 01295 小光头请多指教 2022-7-19 20:36
[求助] 求助位宽计算 新人帖 ztseng 2022-7-12 41515 ztseng 2022-7-19 11:37
[求助] vivado 例化dds ip核时 dds输出是定值 attach_img 追乐人66 2022-7-18 91394 追乐人66 2022-7-19 11:30
[讨论] 对clock设置set_clock_transition与时序分析 wangxuede220 2011-6-14 617772 背对背拥抱 2022-7-18 14:30
[求助] 求大佬 追乐人66 2022-7-16 11305 fengbohan1 2022-7-18 12:08
[求助] MIG核控制ddr3操作 追乐人66 2022-7-17 21264 fengbohan1 2022-7-18 12:05
[原创] ADS2019 linux attach_img hqubyf 2019-2-25 32903 Yonion 2022-7-18 10:06
[求助] 求SNPS最新的tools zhushining 2022-7-15 11232 designstart 2022-7-16 11:13
悬赏 [求助] 求助:DW_apb_XXX 只能产生加密了的文件,无法生成源文件 - [悬赏 200 信元资产] chencsw 2014-3-17 44032 designstart 2022-7-16 11:12
[求助] 问大佬 vavido BANKBONE attach_img 追乐人66 2022-7-16 0892 追乐人66 2022-7-16 10:36
[求助] 从事处理器/数字IC/体系结构更看重科研经历还是工程经历和能力呢 新人帖 XinChen_ 2022-7-16 01251 XinChen_ 2022-7-16 10:27
悬赏 [原创] 使用vcs编译出现错误 - [悬赏 30 信元资产] attach_img lwf 2022-2-25 41352 dihero 2022-7-15 17:36
[求助] Verdi Protocol Analyzer zhushining 2022-7-14 11203 zhushining 2022-7-15 14:54
[原创] 请教synplify的sdc和fdc有什么区别 attach_img atlandis 2016-11-14 43904 fengbohan1 2022-7-15 13:24
悬赏 [求助] 计数器的DC综合出现了问题 - [已解决] attach_img  ...2 北风1号 2022-6-28 112658 北风1号 2022-7-15 11:12
[解决] 谁能帮忙提供一下下面paper和书籍的pdf文档 attachment fhy420462303 2022-7-13 41340 fhy420462303 2022-7-14 17:36
[其它] 双非电气跨行新人小白来报道 新人帖 mengjinzhe 2022-7-14 01170 mengjinzhe 2022-7-14 16:54
[求助] 这是表示fpga芯片坏了?倒是能连上fpga。 watertree 2022-7-8 51394 fengbohan1 2022-7-14 16:23
[求助] setup不满足或hold不满足的芯片哪个可能会被当成正常芯片使用? mahy 2022-7-13 41337 Love24 2022-7-14 15:43
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 07:41 , Processed in 0.065529 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块