在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 1096|回复: 1

[求助] 请教一个FPGA读写DDR2的问题

[复制链接]
发表于 2022-7-21 08:40:57 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
FPGA型号 : ALTERA的飓风4
问题:
由于我的使用特性,在某段时间内必须连续的读DDR2(1280次),且读取的相邻地址不同列,
DDR时钟速度为200MHZ,控制器使用半速,用户接口phy_clk为100MHZ,local_size=4'h2,local_be=8‘hff,
我一直读请求有效,抓波形发现,local_ready信号会每8个phy_clk周期才有效一次,也就是说8个PHY_CLK,才会出一个数据,
这实际的速度相当于12.5MHz啊,这个也太慢了!!!有什么好的办法吗?


发表于 2022-7-21 13:09:26 | 显示全部楼层
这些数据分布在不同的行上?如果这样的话,那么在写数据的时候就要借助ram,一次缓存多行数据,然后将所要读取的数据写在DDR的同一行。或者在读的时候借助ram缓存多行数据。需要根据ram大小和一次读写的深度合理分配数据在DDR里排列的方式。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 02:47 , Processed in 0.016018 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表