|
发表于 2022-6-28 16:17:14
|
显示全部楼层
本帖最后由 ashish 于 2022-6-28 16:25 编辑
Check this out
- module dff (input clk,
- input rstn,
- input d,
- output reg q,
- output qn);
- always @ (posedge clk or negedge rstn)
- if (!rstn)
- q <= 0;
- else
- q <= d;
-
- assign qn = ~q;
- endmodule
- module Pixel_Digital_counter14b(lastcnt,counter_clear,q);
- input lastcnt;
- input counter_clear;
- output [13:0] q;
- wire [13:0] qn;
- generate
- genvar i;
- for (i=0; i<14;i=i+1)
- if (i==0)
- dff dff_i ( .clk (lastcnt),
- .rstn (counter_clear),
- .d (qn<i>), </i><i>
- .q (q<i>), </i><i>
- .qn (qn<i>));</i><i>
- else
- dff dff_i ( .clk (qn[i-1]),
- .rstn (counter_clear),
- .d (qn<i>), </i><i>
- .q (q<i>), </i><i>
- .qn (qn<i>));</i><i>
- endgenerate
- endmodule
- </i></i></i></i></i></i>
复制代码
|
|