在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] 求助 追乐人66 2022-6-15 11951 eric_firebird 2022-6-16 08:53
[求助] DC综合的时候 IC未入门的小波 2021-12-5 12811 serena.yao 2022-6-16 01:41
[求助] spyglass Read Design Read 出错 nanfeng237 2017-7-31 63117 Xx西西 2022-6-15 15:43
悬赏 [求助] PCIe 6.0 flit Retry 求助.flit mode 没有看懂,还有flit ack 和 nak 是怎么发送啊 - [悬赏 10 信元资产] 暗夜之魔鬼 2022-6-15 02215 暗夜之魔鬼 2022-6-15 13:51
悬赏 [求助] 如何查看VCS compile后生效的verilog define - [已解决] attach_img wutianqiao1992 2022-6-12 41686 ashish 2022-6-14 17:09
[求助] simvision 波形文件几个信号no value attach_img 宋晨 2020-4-17 53075 zhouninz 2022-6-14 02:54
[求助] USB3.0是不是没有固定的帧周期? dqwuf2008 2022-6-13 01329 dqwuf2008 2022-6-13 16:23
[资料] verilog14时间信号赋值语句 attachment lancerone 2022-6-12 01431 lancerone 2022-6-12 21:35
[求助] 求集成电路设计导论第二版课后答案 icyao 2022-6-11 01337 icyao 2022-6-11 22:28
[资料] AHB DMA databook attachment daxueliujisheng 2022-6-11 01925 daxueliujisheng 2022-6-11 19:19
[原创] AURORA 遇到一个百年难遇的问题  ...2 ykq19880104 2016-3-16 126681 emesjx 2022-6-11 09:28
[求助] 延时链的选择逻辑被优化掉 attach_img  ...2 494693243 2022-5-27 102553 qq2007go 2022-6-10 22:06
[求助] 大神帮帮忙,smic 40nmll工艺库中各文件夹是做什么的 attach_img  ...2 zeaprx 2018-3-20 116374 弗兰明 2022-6-10 22:01
[求助] 关于Sigma-delta ADC的系统级设计以及数字部分实现 attachment  ...234 wanglscool 2012-1-8 3711928 i_test 2022-6-10 15:26
[求助] 关于spyglass检查CDC的问题 attachment ltdxes 2018-1-30 36688 秋水有恒 2022-6-9 14:19
[原创] HDMI2.1 TX与RX FPGA眼图测试 新人帖 attach_img huchl 2022-6-6 11428 huchl 2022-6-9 08:59
[求助] 用spyglass做cdc检查报错 attach_img sxg1647606637 2022-5-30 91958 sxg1647606637 2022-6-8 17:01
[求助] AHB协议 QYiran 2022-6-8 11704 Love24 2022-6-8 16:10
[求助] 【求助】verdi -f -ssf command not found ——verdi无法添加代码和波形 新人帖 attach_img hyxhyx 2022-5-31 51904 hyxhyx 2022-6-7 21:04
[求助] verilog编译问题 小兔子宝宝哒 2022-6-7 01203 小兔子宝宝哒 2022-6-7 13:44
[求助] AMS仿照别人的Verilog代码,第一步就出现问题,请问有没有大神告知一下 attach_img penghe666 2022-6-6 1980 penghe666 2022-6-6 16:08
[求助] UART 中的通用性具体指的是什么意思呢? come_on_sn 2022-6-6 21411 come_on_sn 2022-6-6 10:22
[求助] PCIE PIO读写很多次时出错 新人帖 RayZyl 2022-4-30 21527 天堂海豚 2022-6-6 09:57
悬赏 [讨论] 废贴,请求版主删除。 - [阅读权限 10]- [悬赏 20 信元资产] luoyanghero 2022-5-30 0190 luoyanghero 2022-6-5 07:09
[求助] RISC-V 三级流水线简单微处理器实现 come_on_sn 2022-5-14 31674 come_on_sn 2022-6-4 14:07
[求助] 关于liberate jiangbowen 2022-6-3 01445 jiangbowen 2022-6-3 22:21
[原创] 手写Verilog用FPGA实现实时图像卷积,用Block Ram缓存图像 attach_img  ...2 ccpp123 2022-3-15 103210 !5236860 2022-6-2 09:35
[求助] 快时钟域到慢时钟域的同步处理 RayZyl 2022-5-9 51599 YYFFLLMMNN 2022-6-1 11:32
[资料] FPGA CDC 分析 blue paerl attachment  ...2 tian2021 2017-2-10 175575 nekitoz 2022-6-1 10:02
[求助] ANSI T10标准可以在哪里下载啊? - [售价 50 信元资产] 霍无醉 2022-6-1 0811 霍无醉 2022-6-1 09:22
给rom填code prguo 2004-5-8 63910 zwx9299 2022-5-31 00:18
[原创] SRAM的RET和CSB可以同时拉低拉高吗? 790922706 2022-5-29 51456 dapendaren 2022-5-30 16:00
[求助] 用spyglass做cdc检查报错 attach_img sxg1647606637 2022-5-30 21093 sxg1647606637 2022-5-30 15:38
悬赏 [求助] synopsys license 启动时,如果没有连网线,就启动不了 - [悬赏 300 信元资产] adan313 2019-9-22 104510 nicedodokaka 2022-5-30 09:32
[原创] vhdl状态机如何实现,在两个状态 执行同一个动作? attach_img american007 2022-5-24 71402 american007 2022-5-29 21:48
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 07:07 , Processed in 0.060251 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块