在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[讨论] 问一个问题,时钟分频的div_num不需要同步吗?? New scutlee 5 天前 087 scutlee 5 天前
[求助] 关于ams 仿真器仿真速度过慢的问题 Amyha 2021-4-12 93980 qqlpp 6 天前
[资料] 【分享电子书】新一代通用视频编码H.266VVC:原理、标准与实现 attachment  ...2 zywttt 2024-1-26 15898 ic886 6 天前
[原创] Xilinx高端FPGA PCIE3.0IP分析文档 attachment  ...23 TimChern 2018-8-8 299270 Electron_tube 6 天前
[原创] xilinx_pcie工程 attach_img chenjun1234 2016-6-29 22262 Electron_tube 6 天前
[原创] primetime(pt)中这个gui界面的Endpoints Summary是什么?请教各位大神 新人帖 New 瓜大数字ic鼠鼠 7 天前 188 zero_0 6 天前
[讨论] clock enable信号需要同步吗?? New scutlee 6 天前 1126 zero_0 6 天前
[资料] PCIE协议GEN2~GEN4汇总 attachment  ...23456..11 xiao_jie 2017-7-11 10228492 Electron_tube 6 天前
[资料] PCIE/SATA/NVME资料推荐 - [阅读权限 1]attachment  ...2345 wonderwind 2021-10-11 437794 Electron_tube 6 天前
[资料] Synplify Pro User Guide 用户手册 2019.03 attachment  ...23456..26 尐翟 2020-9-8 25432508 Kqingzhi 6 天前
[原创] PCIE attachment want2019 2024-4-23 5493 Electron_tube 6 天前
[求助] Xilinx的HLS出来后,verilog语言会被淘汰吗?  ...2 unionten 2014-10-18 1813345 murphy522 6 天前
[资料] 分享一些jesd204b和PCIe的资料 attachment  ...2 yif1878 2023-12-7 121055 Electron_tube 6 天前
[讨论] temperature sensor可以关掉吗 New scutlee 6 天前 3126 maomao198477 6 天前
[求助] INITIAL块下多个forever的情况求助 New A1eksib_ 7 天前 4138 A1eksib_ 6 天前
[原创] NVMe over PCIe 逻辑加速引擎 attach_img xianuser 2024-5-17 2250 xidianuser 6 天前
悬赏 [求助] IC电源监控IP规格制定 - [悬赏 1 信元资产] New wuyubiu 6 天前 0195 wuyubiu 6 天前
[资料] Cortex M0 + USB2.0 IP SOC设计例程 attach_img dodoee 2024-5-17 6476 zixin1hao 6 天前
悬赏 [求助] 时钟毛刺是否会影响复位同步器的输出 - [悬赏 300 信元资产] 玉林枫 2024-5-29 5229 coolbear2021 6 天前
[原创] 求一篇论文 attachment New takeshineshiro 6 天前 1160 杰尼龟777 6 天前
[转贴] axi协议 attach_img 羽无芯 2021-3-31 23527 hideonbush 6 天前
[原创] 最近写了一个psram controller whatismoney 2024-1-15 7743 live_不易 2024-5-31 23:38
[求助] verdi nWave add alias from program功能应该如何使用? live_不易 2024-5-31 0119 live_不易 2024-5-31 23:32
[资料] 民用无人机研究报告分享 attach_img 芯电图 2024-5-31 1133 jiangnaner 2024-5-31 16:29
[资料] axi4_stream协议(附中文spec) 新人帖 attachment  ...23 yaoys0818 2021-6-28 267217 hideonbush 2024-5-31 16:25
[讨论] vivado卡在technology mapping 是什么意思??? scutlee 2024-5-8 4237 sdkjlwc 2024-5-31 16:21
[求助] [求助] 有关AXI中narrow transfer的疑问 新人帖 attach_img dreamer21 2024-5-30 4198 dreamer21 2024-5-31 15:08
投票 [求助] 该学哪门语言?SystemVerilog VS SystemC  ...23456..26 albe2t 2011-11-22 256132858 Lavender888 2024-5-31 14:49
[资料] 经典书籍:计算机体系结构量化研究方法英文版 attachment  ...2 orange1234 2019-4-3 184716 xiangunidv 2024-5-31 09:11
投票 [调查] 大家在用xilinx的那个系列搞产品?  ...2345 jacksonzh 2011-12-28 4724016 周一 2024-5-30 16:53
[求助] NOC kangliyu 2021-10-8 71888 bao0020 2024-5-30 13:49
悬赏 [求助] 哪位可以提供eDP1.4的标准文档吗? - [悬赏 1000 信元资产] attachment  ...2 snq31418 2020-1-9 176265 794421378 2024-5-30 13:36
[求助] 菜菜捞捞(关于clk上升沿触发块的执行先后问题) 新人帖 attach_img 关东煮游泳 2024-5-28 6281 关东煮游泳 2024-5-30 12:04
[求助] NC仿真过程中报错 jjooee 2019-3-4 42071 spr.x 2024-5-30 11:26
悬赏 [求助] mipi dsi - [悬赏 100 信元资产] KGL 2022-7-14 32057 xiang748729319 2024-5-30 10:20
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-9 05:35 , Processed in 0.015334 second(s), 6 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块