在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
讨论区只允许讨论交流不允许上传资料,更不允许任何形式的灌水!
公告 公告: 大附件建议上传在云盘,然后分享链接(可以自行设定下载积分) jackzhang 2024-2-27    
全局置顶 隐藏置顶帖 百万元器件搜索大全  ...2 jackzhang 6 天前 11379 xiadz_1999 2024-6-14 08:47
全局置顶 隐藏置顶帖 完成资料下载,奖励300信元 | 忆阻器、存算一体芯片白皮书、解决方案文案、培... attach_img  ...23456 论坛管理员-1 2024-5-30 551296 Ganzion 昨天 12:59
全局置顶 隐藏置顶帖 ISSCC2024 Session Digest PPT Short-Course  ...23456 james2007 2024-2-23 5431012 ronyeh 2024-5-29 21:57
全局置顶 隐藏置顶帖 创芯大讲堂新课上线《DFT 设计与实现》限时75折 attach_img 创芯讲堂运营 2023-11-15 814917 hzmscut 2024-5-24 16:35
      
[原创] PCIE项目案例合集 attach_img New chop147 昨天 15:21 0100 chop147 昨天 15:21
[原创] edp和V-by-on接口设计 New chop147 昨天 15:18 056 chop147 昨天 15:18
[原创] Xilinx官方的DP例程的建立步骤 attach_img New chop147 昨天 15:13 176 ic886 昨天 21:21
[求助] PrimePower中运行read_verilog命令时,报错Command ' read_verilog' is disabled 新人帖 attach_img New rain3 前天 15:21 1101 rain3 前天 16:30
[讨论] vivado 模块被当成了blackbox New scutlee 前天 11:26 062 scutlee 前天 11:26
[讨论] vivado的操作怎么queued?? New scutlee 前天 10:10 058 scutlee 前天 10:10
[求助] 求移知上的AMBA进阶之AXI总线实战,可分摊学费 New ysyandysm 3 天前 0103 ysyandysm 3 天前
[讨论] Altera HLS学习路径 New 海神波塞冬 3 天前 074 海神波塞冬 3 天前
投票 [原创] 数字IC设计(IC前端|FPGA|ASIC)方向可以发sci论文吗? New albe2t 3 天前 0128 albe2t 昨天 19:48
[讨论] 怎么LUT用了263%???? New scutlee 3 天前 1126 hxy2018 3 天前
[讨论] 用vivado综合完工程,文件路径就变了,那我怎么刷新文件呢?? New scutlee 4 天前 049 scutlee 4 天前
[求助] Verilog中信号保持要不要明确写出来 New 时间在走人在变 4 天前 1113 zhaozhety 4 天前
[资料] VC Spyglass全套资料,包括vc_static平台 attachment New ICer_hao 4 天前 192 ic886 4 天前
[求助] vivado可以输出xdl文件吗? New Rita_Jia 4 天前 188 西西在冰城 4 天前
[资料] AMBA资料 attachment New ICer_hao 4 天前 1115 ic886 4 天前
[资料] PCIE资料 attachment New ICer_hao 4 天前 1137 ic886 4 天前
[资料] VCS使用手册 attachment New ICer_hao 4 天前 291 icroad 3 天前
[讨论] Verdi的lib cell是啥啊 New scutlee 4 天前 394 gaurson 前天 18:54
[求助] 求问designware的div_lp_pipe IP是用什么算法实现的? 新人帖 New leolew 4 天前 098 leolew 4 天前
[求助] virtuoso 打印出波形,按住 shift+鼠标滚轮无法实现横轴缩放 attach_img New soulermate 4 天前 2129 soulermate 4 天前
[求助] 有关nic400自带的dct综合脚本的问题 New 吕归尘 5 天前 6119 吕归尘 3 天前
[原创] 求一篇论文 attachment New takeshineshiro 5 天前 2117 joshuacp 4 天前
[求助] 移位寄存器产生序列疑问 New 时间在走人在变 5 天前 1117 sogaside 5 天前
[求助] spygalss cdc 数据用作时钟的报错怎么解决 新人帖 New kassadin 5 天前 6113 kassadin 3 天前
[求助] 问一个很基础的dc综合的时钟周期的问题 attach_img New 鹅鹅鹅丶 5 天前 3148 hxy2018 5 天前
[求助] 请问有没有对TDF8532HH/N3芯片比较了解的大佬 New Mrikato 5 天前 057 Mrikato 5 天前
[讨论] 问一个问题,时钟分频的div_num不需要同步吗?? New scutlee 5 天前 087 scutlee 5 天前
[求助] 关于DC综合无输出端口的问题 新人帖 attach_img New 鹅鹅鹅丶 6 天前 7195 虾兵 4 天前
[讨论] clock enable信号需要同步吗?? New scutlee 6 天前 1126 zero_0 6 天前
[资料] IEEE802.3以太网协议 attachment New ICer_hao 6 天前 3153 ic886 4 天前
[讨论] temperature sensor可以关掉吗 New scutlee 6 天前 3127 maomao198477 6 天前
悬赏 [求助] IC电源监控IP规格制定 - [悬赏 1 信元资产] New wuyubiu 6 天前 0196 wuyubiu 6 天前
[原创] 求一篇论文 attachment New takeshineshiro 6 天前 1160 杰尼龟777 6 天前
[原创] primetime(pt)中这个gui界面的Endpoints Summary是什么?请教各位大神 新人帖 New 瓜大数字ic鼠鼠 7 天前 188 zero_0 6 天前
[求助] INITIAL块下多个forever的情况求助 New A1eksib_ 7 天前 4138 A1eksib_ 6 天前
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-9 06:43 , Processed in 0.055071 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块