在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] Verdi导出RTL代码中instance路径 Blue_IC 2024-1-10 4435 夏尔 2024-1-12 10:43
[求助] .cshrc文件是干嘛的?  ...23 朱鹮2011 2015-8-3 2323279 夏尔 2024-1-12 10:16
[原创] Malogic FPGA Board 优惠活动 attach_img dodoee 2024-1-11 2380 dodoee 2024-1-12 08:18
[资料] SD2.0和3.0协议中文版 attachment  ...234 851018986 2016-10-12 3512582 scutlee 2024-1-11 21:54
[求助] 用DC逻辑综合的时候输入check_timing之后报错 thrallzy 2024-1-11 0349 thrallzy 2024-1-11 21:46
[求助] gcc吞语句问题 新人帖 realom 2023-11-17 1351 AlvinRolling 2024-1-11 20:34
[求助] 怎么在Modelsim里使用Notepad++ nuobeierjiang 2023-11-15 2440 AlvinRolling 2024-1-11 20:32
[求助] Synopsys综合前RTL级功耗仿真 南波湾001 2023-12-6 2556 AlvinRolling 2024-1-11 20:24
[求助] 数字电路如何从门级反推行为级 Mrikato 2024-1-2 3434 AlvinRolling 2024-1-11 20:12
[求助] vcs编译时钟频率求助 Aaronlmy 2023-12-29 2418 AlvinRolling 2024-1-11 20:11
[求助] POR和BOR的区别 Alex_Liu95 2024-1-10 1569 freemanhans 2024-1-11 16:46
[求助] AHB总线multi-cycle问题 attach_img  ...2 jiajie0628 2021-6-15 143417 zsj230713 2024-1-11 10:31
[解决] Verdi打开filelist问题 kyaaaa 2024-1-10 4409 kyaaaa 2024-1-10 17:23
[原创] 基于FPGA的千兆以太网UDP 硬件协议栈 attachment digest  ...23456..16 eebinqiu 2016-2-15 15961877 im.leo 2024-1-10 16:45
[求助] error: too many initializers sins17 2024-1-10 0247 sins17 2024-1-10 15:06
[资料] 如何以硬件思维看穿你的verilog attachment  ...23456 MACROY 2018-1-23 5114035 sugarlovestudy 2024-1-10 14:11
[解决] 代码综合以后,资源没有or 不显示是什么原因 attach_img 西西在冰城 2024-1-10 0411 西西在冰城 2024-1-10 10:55
[解决] Verdi可以不通过vcs编译直接看code吗 kyaaaa 2024-1-9 2494 kyaaaa 2024-1-9 20:39
[求助] 纯组合逻辑的模块在时序路径中的约束 新人帖 打不出空白 2024-1-9 1387 莫名晴天 2024-1-9 17:15
[求助] 有关set_max_delay的疑问,请指教 attach_img geff 2021-8-5 42003 打不出空白 2024-1-9 16:37
[求助] verilog的$display能控制打印字符的颜色吗 attach_img  ...2 wenjohnny 2020-4-28 104661 Hillusionary 2024-1-9 13:28
Logical Effort Designing Fast CMOS Circuits attachment  ...23456 superchip 2009-12-8 509259 omnik 2024-1-9 11:40
悬赏 [求助] 请问理想CIC滤波器是怎么计算的? - [已解决] attach_img typhoon222 2023-11-16 4545 wufeng233 2024-1-9 10:34
[资料] 数字滤波器设计资料 attachment wufeng233 2021-5-12 92317 risccpu 2024-1-9 09:33
[求助] 求教DC综合时设置input_delay与output_delay问题! HADIST 2013-5-25 86720 AlexS 2024-1-8 16:24
[求助] 网表中时钟信号出现assign hzdzkjly 2013-12-4 52714 iatach 2024-1-8 14:42
[原创] generate clk 定义在output port(PAD)上 新人帖 iatach 2024-1-3 2374 iatach 2024-1-8 14:38
[求助] 【求助】关于encounter进行nanoroute布线时PIN无法连接的问题 喵咪呼噜love 2016-5-5 32831 stamp330 2024-1-8 14:32
[原创] 设了multicycle又设了异步会有啥情况出现 马奔 2024-1-8 1353 hxy2018 2024-1-8 12:04
[资料] Altium Designer(Altera FPGA原理图库与封装库)很全 attachment  ...23456..13 平凡的足迹 2014-8-22 12339733 yzwbb2013 2024-1-7 21:03
[资料] AXI协议 新人帖 attachment Real__Madrid 2023-8-13 8975 yzwbb2013 2024-1-7 20:48
[原创] 高云GW2A-LV18PG256C8/I7 RAM16SDP IP核使用 新人帖 attachment yc1589302043 2023-11-22 1426 yzwbb2013 2024-1-7 20:42
[求助] LVPECL共模输出电平问题 attach_img blacksemir 2015-12-13 74502 jincong 2024-1-7 00:06
[求助] EFA LicGen 0.4b无法保存生成文件  ...2 elenoob 2020-2-24 114303 画版图的小W 2024-1-6 16:53
[求助] pt中hold违例的情况与icc中不同的问题 新人帖 attach_img aaaalei 2023-12-24 2397 zero_0 2024-1-5 17:32
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 23:55 , Processed in 0.019194 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块