在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
[求助] modelsim中'xxx' already declared in this scope (yyy). 错误怎么解决?  ...2 flyamo 2012-12-20 1216918 yifug12 2017-12-2 10:56
[求助] verilog里面的RAM的初始化问题  ...23 greenhope 2011-5-23 2016882 iloveladygaga 2011-11-19 18:09
悬赏 [求助] calibre2015安装问题,如图,求教如何解决 - [悬赏 500 信元资产] attach_img  ...234 cyx0610 2016-4-4 3116817 jeffej 2021-8-6 10:05
[讨论] 抛砖引玉,同步器(一) attach_img digest  ...23 immonster 2011-9-6 2616821 durbin 2021-9-22 11:10
[求助] 建立时间和保持时间不满足如何解决  ...2 歪枣树 2011-12-8 1416796 522526tl 2014-11-15 09:42
tt ff ss snfp和fnsp各代表什么工艺模型  ...23 chibijia 2009-5-8 2016872 icyxin 2016-8-1 11:23
有哪位给介绍一下power analysis的流程?  ...2 greatrebel 2003-10-30 1816777 wangqiantj 2004-4-26 02:11
[求助] Xilinx DDS Compiler IP核使用问题,用过的高手来看一下 attach_img  ...2 zzggxx007 2013-7-24 1316835 invoker430 2016-5-17 15:31
[求助] 关于Warning: Output pins are stuck at VCC or GND wufan811 2010-6-1 416850 qlengyu 2010-6-8 11:13
[求助] ise乘法器?? chanon 2010-11-19 416780 mning_master 2010-11-20 13:00
我对IC设计流程的一些理解(模拟IC部分)  ...23456..7 zlmdmeail_80 2007-10-9 6416825 an20080029 2014-8-17 10:43
[求助] xilinx ISE如何看综合之后的电路原理图  ...2 john3851 2011-10-10 1416762 jefby 2012-7-31 21:59
[求助] VCS如何安装  ...23 Johnny_Cheng 2011-10-7 2916718 rango_1 2021-3-17 17:48
[原创] Modelsim安装破解(modelsim10.1c与modelsim10.0)  ...23 leoleo103 2013-11-1 2616716 tezhi 2017-2-22 20:59
[原创] SpinalHDL一门真正具备生产力的HDL开发框架 新人帖  ...23 goco 2020-6-23 2316681 rass 2024-1-2 11:29
[资料] 怎么使用XADC检测Xilinx FPGA的温度和电压 jackleeonly 2018-3-22 516692 tianshandudiao 2023-3-8 15:24
[求助] 请教-------双口RAM的疑惑!!!  ...2 linyuanfei 2012-3-2 1516679 windyghj 2013-8-19 22:32
[求助] modelsim中如何将仿真波形保存为图片格式的文件 gavinc 2009-12-14 816643 yucaoxilin 2012-11-28 09:43
[求助] 请教一下,在ahb总线规范中的增量突发和回环突发分别是什么意思?  ...2 gerry1812 2010-11-17 1316639 琦不啦唧 2022-3-7 09:40
[求助] 求助!关于verilog 状态机的嵌套  ...2 Tristan90 2013-6-30 1316584 american007 2020-6-10 06:59
什么叫粘合逻辑?  ...2345 atuhappy 2004-4-26 4216519 南盗 2012-10-2 10:36
[讨论] pr后的pt,反标用的是spef还是sdf?  ...23 sh_0119 2010-3-15 2716577 超级C4 2022-11-7 15:11
[原创] 请教多时钟综合的设置 attachment  ...23 tntdog 2012-7-12 2016499 jimingchun 2021-5-14 15:18
关于国外有关IC网站的一些网址  ...23 ppywindy 2006-11-5 2616554 leoxlshf 2023-5-26 11:10
[原创] synopsys IP整合过程中的若干问题 agree  ...23 forestimber 2012-12-18 2116465 nasirkhanpak25 2021-4-29 04:22
[求助] 关于跨时钟域单bit信号的同步问题 attach_img digest  ...234 IC.Michael 2019-4-10 3816465 dcrfyq 2023-9-28 23:18
[求助] Aurora 8B/10B的问题  ...234 pflst90 2015-1-13 3316486 电子通信与工程 2019-4-17 11:08
[转贴] 电子工程师 毕业3年,工资从5k到20k的经历  ...23456 xuanjian 2011-2-24 5616440 林煦韶 2013-1-9 14:07
[原创] NotePad++ verilog 编辑增强版插件 attach_img  ...234 lich6612 2016-10-7 3516385 randolpha 2021-4-4 11:20
[求助] 关于DDR4的gear down mode attach_img linghuqiubai 2014-11-2 616383 barbossa 2021-7-22 09:31
[求助] 最基本的问题?关于vivado 烧写bit,bin,mcs的区别 qiurijian 2017-10-31 316443 Andy126 2018-12-11 09:13
[原创] 关于NAND FLASH Controller  ...2 axpro 2011-9-3 1816414 Jeff101 2017-5-21 10:13
[求助] xilinx 的BUFG 在综合和布局布线的时候如何正确添加约束 attachment  ...2345 一力为侵 2013-3-1 4616437 micdot 2018-6-27 11:41
[求助] 求书:FPGA Simulation: A Complete Step-by-Step Guide  ...234 klopstock 2010-7-9 3616440 iwktd1220 2023-2-1 16:31
同一代码不同FPGA器件后仿结果不同是怎么回事?  ...2 hudie2002 2009-11-17 1216393 src 2009-11-24 22:12
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 08:45 , Processed in 0.072127 second(s), 9 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块