在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
收藏本版 (87) |订阅

IC验证讨论 今日: 11 |主题: 6529|排名: 15 

再问DesignWare验证  ...2 InterNOK 2009-3-10 158875 longer0116 2015-10-26 13:10
请教一个SystemVerilog的断言  ...2 InterNOK 2009-4-4 156369 zhajio 2014-7-10 21:23
学习书籍? attachment  ...2 sdkuan 2009-6-11 154578 huacc121 2009-7-30 12:30
求助questasim跑sv DPI tutorial时遇到的错误  ...2 lee_267 2009-8-12 1510776 skjeon78 2016-1-9 23:16
请教各位  ...2 rongchris 2009-9-2 154079 rongchris 2009-9-22 10:34
sparc v8 处理器架构 attachment  ...2 cacc 2009-9-21 153928 name108662 2014-9-23 15:01
questasim 6.3c 怎么安装  ...2 dolphintear 2009-12-7 155506 jumphigh1987 2011-9-26 16:55
[讨论] 推荐一个中国IC设计与验证精英QQ群:107427796  ...2 ZXPING2050 2010-4-3 155494 梧叶飘黄 2010-8-23 20:43
悬赏 [求助] 新手求VERILOG解决方法 - [悬赏 80 信元资产]  ...2 dongzhiliange8 2010-5-10 156206 ligang1986718 2013-12-21 12:17
[招聘] fpga验证工作机会,有兴趣者进。  ...2 nwumengfei 2010-5-14 155750 mayiqun 2010-8-22 21:14
[讨论] testbehch几个组件的概念,一直没弄明白,高手进来讨论一下  ...2 daoer 2010-7-14 154949 aigon 2011-2-11 16:16
[求助] 请问关于OVM的学习资料有没有中文版的?  ...2 nangua001 2010-7-18 158400 ymcai 2012-8-4 20:20
[求助] 求助 virtuoso 可以自动修正offgrid问题吗?  ...2 woosee 2010-8-5 1512503 萍萍可以不吃馕 2017-8-6 19:06
[原创] 今天早上复习了DPI,发现cadence的sysverilog.pdf上gcc编译c语言少了个选项  ...2 bright1224 2010-12-29 1516087 tanbobobobobo 2018-12-25 19:47
[讨论] 大家有否用过硬件加速验证(不是fpga)  ...2 wolaile333 2011-3-20 156569 bruce_tan 2018-3-6 13:57
[求助] 关于用Testbench搭建验证环境的问题!? attach_img  ...2 ztspac 2011-5-18 1510393 francis001 2016-9-19 15:23
[求助] 新手请教:system verilog里的回调和scoreboard  ...2 sdustyj 2011-10-10 1511278 summeryang 2021-8-27 14:48
[求助] 问一个systemverilog 字符串串联的问题  ...2 oscillator_cn1 2012-3-1 1511330 uiwjyb 2018-8-29 15:27
[讨论] 做数字IC,国内目前前端和后端人数的比例  ...2 troy32 2012-3-15 159246 s421490542 2016-10-24 17:19
[求助] 求助:关于systemverilog中使用“DPI-C"的问题  ...2 oscillator_cn1 2012-3-29 157435 十八画生 2015-10-10 17:22
[求助] modelsim10.0c + uvm-1.1b源码仿真工程搭建问题求指教  ...2 mengnantiger 2012-8-25 1510248 八个向日葵 2021-3-16 10:04
[求助] system verilog 代码请教一个问题  ...2 hhc789 2012-10-9 158904 uiwjyb 2018-11-20 09:49
[求助] 求助,VCS在ubuntu 64位的问题  ...2 chen.terry 2013-2-26 1516551 chingyy000 2020-7-10 16:50
[求助] 求助:在systemverilog的task中只能用阻塞赋值么?  ...2 oscillator_cn1 2013-4-2 156257 zsy5460 2019-5-21 14:29
[求助] 关于PT的一个小问题 attach_img  ...2 zheng070608138 2013-5-7 156100 秦剑心 2023-10-30 20:27
[原创] 来自SV的端午节问候(用波形显示文字) attachment  ...2 guanzixin 2013-6-5 155196 wendy.gg.yang 2013-6-14 16:17
[求助] 用uvm验证半双工的通信模块,怎样划分driver和monitor的职能比较好  ...2 orlye 2013-11-27 155879 darkdolls 2019-4-20 21:25
[原创] 验证测试点分解  ...2 ggggdddd 2014-4-10 1512758 hzsunw 2020-3-2 09:18
[求助] UVM+questa_sim 10.2c编译报错  ...2 441307320 2014-11-5 157202 mdj1992 2016-11-24 10:46
[求助] VCS DUMP FSDB 求助  ...2 mazifa 2015-4-16 1511274 精武英雄 2018-10-10 20:58
[求助] 请问如何用vcs仿真之前编译过的文件  ...2 mndzjsjczex 2015-7-29 157338 9065574912 2016-5-26 11:25
[求助] vcs编译时,如何编译到默认的WORK库中,方便之后的调用  ...2 mndzjsjczex 2015-9-1 1512541 BranJiang 2023-8-14 22:04
[原创] 多媒体类SoC项目Verification-Project-Leader工作内容介绍(讨论)  ...2 lshj98115 2015-10-20 159384 DerekXN 2022-6-25 07:45
[求助] 本人研二学生,求验证大神指路!!!!  ...2 芹菜饺子 2015-11-22 153906 lml361121273 2016-7-26 16:49
[求助] 搭建完成Questa验证平台  ...2 liyp1123 2015-12-22 153545 2sanban 2016-10-3 00:51
下一页 »

快速发帖

还可输入 120 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 22:50 , Processed in 0.028932 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部 返回版块