在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 10276|回复: 15

[求助] modelsim10.0c + uvm-1.1b源码仿真工程搭建问题求指教

[复制链接]
发表于 2012-8-25 11:37:44 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
在学习uvm,使用modelsim10.0c想跑一个1.1b里面simple的例子,找了一个TLM里面bidr的代码,

编译通过,但是simulate报错,提示是:

** Fatal: (vsim-7019) Can't locate a C compiler for compilation of DPI export tasks/functions.
#
#    Time: 0 ns  Iteration: 0  Unknown: <UNKNOWN> File: UNKNOWN
# FATAL ERROR while loading design
# Error loading design


不太明白是什么意思,modelsim10.0c里面是有uvm的库的,DPI之类的是神马。。。

仿真新手求各位大侠指教,多谢!
 楼主| 发表于 2012-8-25 13:51:31 | 显示全部楼层
自己又制作了一个uvm_dpi.dll,但还是不行,报错是一样的,看上去貌似是没有找到c编译器,是不是哪里路径设置的不对?
 楼主| 发表于 2012-8-25 14:05:39 | 显示全部楼层
鼓捣了半天,下了一个gcc-4.2.1-mingw32vc9,解压到modelsim路径下,问题解决,sigh..
发表于 2012-8-31 09:51:59 | 显示全部楼层
问个问题哈,我使用的是10.d版本的。编译顺序是
2.c:/altera/12.0/modelsim_ase/gcc-4.2.1-mingw32vc9/bin/g++.exe -g -DQUESTA -W -shared -Bsymbolic -Ic:/altera/12.0/modelsim_ase/include  $UVM_HOME/src/dpi/uvm_dpi.cc -o  $UVM_HOME/lib/uvm_dpi.dll c:/altera/12.0/modelsim_ase/win32aloem/mtipli.dll -lregex
3.vlib work
4.vlog +incdir+$UVM_HOME/src $UVM_HOME/src/uvm_pkg.sv
5.vlog +incdir+$UVM_HOME/src hello_world.sv
6.vsim -c -sv_lib $UVM_HOME/lib/uvm_dpi hello_world。
但是在跑的时候遇到了,
7.# HDL call sequence:
# Stopped at producer.sv 60 Task hello_world/producer::run_phase
# called from  d:/copy/uvm-1.1b/src/base/uvm_common_phases.svh 245 Task uvm_pkg/uvm_run_phase::exec_task
# called from  d:/copy/uvm-1.1b/src/base/uvm_task_phase.svh 150 Function uvm_pkg/uvm_task_phase::execute。
另外在win32aloem少了两个文件:dpghelp.dll imagehlp.dll,网上下了两个。
我看那个地方是:oid'(p.randomize());
你遇到这个问题没有
 楼主| 发表于 2012-9-6 22:32:57 | 显示全部楼层
回复 4# duanli112


   这个是正常的吧,至少编译和run已经没问题了
发表于 2012-11-2 20:49:44 | 显示全部楼层
我也遇到这个问题了,试试
发表于 2012-11-3 13:59:28 | 显示全部楼层
好啊!谢谢你了!感谢!
发表于 2013-3-3 22:26:36 | 显示全部楼层
JDKDDKKDJDK
发表于 2014-11-4 09:37:24 | 显示全部楼层
貌似解压完还有问题
发表于 2015-6-24 16:35:46 | 显示全部楼层
回复 3# mengnantiger


    请教一下,你使用的编译命令是什么啊? 我也在安装目录中解压了modelsim-gcc-4.2.1-mingw32vc9.zip,但是sccom命令却识别不了,说是gcc编译器不存在。。。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 17:24 , Processed in 0.034289 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表