在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 7471|回复: 15

[求助] 求助:关于systemverilog中使用“DPI-C"的问题

[复制链接]
发表于 2012-3-29 13:47:25 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
请问:在class中可以使用import "DPI-C" function void crun()么?
我只知道module与program中可以,不知道class中是否可以呢?
发表于 2012-3-30 08:40:08 | 显示全部楼层
可以的~~
发表于 2012-10-25 22:00:13 | 显示全部楼层
我怎么试了不可以啊?谁能给个详细的解释?
发表于 2012-11-9 15:57:03 | 显示全部楼层
学习一下
发表于 2012-11-9 23:56:53 | 显示全部楼层
肯定可以的,报什么错误呢
发表于 2013-5-26 17:12:36 | 显示全部楼层
学习一下
发表于 2013-5-30 12:11:07 | 显示全部楼层
可以,刚刚用过。但是要注意import "DPI-C" function void  必须放在class外 不能放class里面。
发表于 2013-6-1 00:38:13 | 显示全部楼层
应该可以用,要不然你就尝试一下调用标准的c库的函数。
发表于 2013-9-29 09:54:54 | 显示全部楼层
应该是需要在源函数的protype之前加上extern “C”
extern “C”  void xx();
{;}
发表于 2014-7-2 12:32:28 | 显示全部楼层
放在class外的地方
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

×

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 04:35 , Processed in 0.036680 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表