在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
查看: 6608|回复: 15

请教一个SystemVerilog的断言

[复制链接]
发表于 2009-4-4 21:59:26 | 显示全部楼层 |阅读模式

马上注册,结交更多好友,享用更多功能,让你轻松玩转社区。

您需要 登录 才可以下载或查看,没有账号?注册

x
大家好,下面是我尝试写的一个SVA的TB
`timescale 1ns/10ps
module SVA_practice;
    reg clk;
    reg a;
    initial
begin
    a=1'b1;
    clk=1'b0;
end
always #5 clk=~clk;
property p1;
@(posedge clk) a ;
endproperty
a1:assert property(p1);
endmodule

在QuestaSim中仿真时,波形图中的a1总是显示为INACTIVE,可是我把a始终置为1了,断言应该成功才对啊。
不知道原因,向大家求助,谢谢。
发表于 2009-4-7 17:07:41 | 显示全部楼层
偶用vcs没问题。估计是你用Questasim的问题,看看文档吧
发表于 2009-4-7 22:54:55 | 显示全部楼层
怎么run的?没有激活
发表于 2011-4-19 22:00:07 | 显示全部楼层
楼主,我是一名学生,最近做毕设,已用verilog编写了一个tb,现在想用systemverilog再写个tb文件,
写了之后编译能过,但是仿真里面就没有我的sv文件选项,不会仿真
用的软件是questasim,你能告诉我一下怎么弄吗?是需要加库文件还是什么问题?谢谢。这个问题卡了我好久了,网上也没有找到
发表于 2011-5-13 16:07:46 | 显示全部楼层
应该是工具的问题吧
发表于 2011-5-14 10:55:38 | 显示全部楼层
感谢分享啊!
发表于 2011-5-14 18:26:38 | 显示全部楼层
我觉得你的时钟赋值是不是有问题,试着在initial中去掉时钟的初始化
发表于 2011-5-14 19:49:10 | 显示全部楼层
謝謝分享
发表于 2011-5-28 21:34:35 | 显示全部楼层
initial中去掉初始化语句会有问题,导致仿真时clk不定态。从代码上看应该是断言成功的。
发表于 2013-5-6 02:14:08 | 显示全部楼层
感谢楼主~~~~~~~
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

站长推荐 上一条 /2 下一条

小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-9-21 09:24 , Processed in 0.040655 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
快速回复 返回顶部 返回列表